双倍数据速率I/O (ALTDDIO_IN、ALTDDIO_OUT和ALTDDIO_BIDIR) IP内核用户指南

ID 683148
日期 1/23/2015
Public

1.6.4. 功能结果 — 在ModelSim-Altera软件中对分频器设计进行仿真

对ModelSim-Altera软件中的设计进行仿真来,生成器件行为的波形显示。

要设置ModelSim-Altera软件,请执行以下步骤:

  1. ALTDDIO_ex2_msim.zip文件解压缩到 PC上的任何工作目录中。
  2. 浏览到解压缩文件所在的文件夹,并以文本编辑器打开ALTDDIO_ex2.do文件。
  3. ALTDDIO_ex2.do文件的第1行,将<insert_directory_path_here>替换为相应库文件的目录路径。例如C:/altera/71/modelsim_ae/altera/verilog/stratix
  4. 在File菜单中,点击Save
  5. 启动ModelSim-Altera
  6. 在File菜单中,点击Change Directory
  7. 选择解压缩文件所在的文件夹。点击OK
  8. 在Tools菜单上,单击Execute Macro
  9. 选择ALTDDIO_ex2.do文件并点击Open。这是ModelSim软件的脚本文件,可自动执行进行仿真所需的全部设置。
  10. 通过查看Waveform Viewer窗口,可以检验结果。

    通过修改ALTDDIO_ex2.do 文件中的脚本来更改基数,可以重新排列信号,移除冗余的信号。

图 8. ModelSim仿真结果该图显示了ModelSim-Altera软件中预期的仿真结果。