E-Tile Hard IP Agilex™ 7 Design Example User Guide: Ethernet, E-tile CPRI PHY and Dynamic Reconfiguration

ID 683860
Date 4/30/2024
Public
Document Table of Contents

3.1. E-tile CPRI PHY Intel® FPGA IP Quick Start Guide

The E-tile CPRI PHY Agilex™ 7 core for Agilex™ 7 devices provides a simulation testbench and a hardware design example that supports compilation and hardware testing. When you generate the design example, the parameter editor automatically creates the files necessary to simulate, compile, and test the design in hardware.

In addition, you can download the compiled hardware design to the Agilex™ 7 TX Transceiver Signal Integrity Development Kit. Intel Agilex® 7 provides a compilation-only example project that you can use to quickly estimate IP core area and timing.

The E-tile CPRI PHY Intel® FPGA IP core provides the capability of generating design examples for all supported combinations of number of CPRI channels and CPRI line bit rates. The testbench and design example support numerous parameter combinations of the E-tile CPRI PHY Intel® FPGA IP core.

Figure 23. Development Steps for the Design Example