F-Tile Ethernet Intel® FPGA Hard IP Design Example User Guide

ID 683804
Date 1/30/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

1.4. Simulating the Design Example Testbench

You can compile and simulate the design by running a simulation script from the command prompt.
Figure 7. Procedure
Note: Prior the simulation, you must generate tile-related files described in Generating Tile Files.
  1. At the command prompt, change to the testbench simulation directory cd <design_example_dir>/ex_*G/sim.
  2. Run the IP setup simulation:
    ip-setup-simulation -quartus-project=../../hardware_test_design/eth_f_hw.qpf
  3. At the command prompt, change the working directory to <design_example_dir>/example_testbench.
  4. Run the simulation script for the supported simulator of your choice. The script compiles and runs the testbench in the simulator. Refer to the table Steps to Simulate the Testbench.
  5. Analyze the results. The successful testbench displays "Simulation Passed".
    Table 2.  Steps to Simulate the Testbench
    Simulator Instructions
    Synopsys* VCS* In the command line, type:
    sh run_vcs.sh
    Synopsys* VCS* MX In the command line, type:
    sh run_vcsmx.sh

    Use this script when the design contains Verilog HDL and System Verilog with VHDL.

    ModelSim* SE or Questa* or Questa*-Intel® FPGA Edition In the command line, type:
    vsim -do run_vsim.do
    If you prefer to simulate without bringing up the GUI, type:
    vsim -c -do run_vsim.do
    Xcelium* In the command line, type:
    sh run_xcelium.sh
A successful simulation ends with the following message:
Simulation Passed.
or
Testbench complete.
After successful completion, you can analyze the results.