AN 826: Hierarchical Partial Reconfiguration Tutorial: for Intel® Stratix® 10 GX FPGA Development Board

ID 683327
Date 1/05/2021
Public

Creating Implementation Revisions

  1. Double-click <<new revision>>.
  2. For Revision name, specify hpr_child_default and select blinking_led for Based on revision.
  3. Select Partial Reconfiguration - Persona Implementation for Revision type.
    Figure 6. Creating Revisions
    Note: You can add the static region .qdb by enabling This project uses a Partition Database (.qdb) file for the root partition and specifying the static region .qdb file.
  4. Turn off Set as current revision.
  5. Repeat steps 1 through 4 to create these implementation revisions:
    • hpr_child_slow
    • hpr_child_empty
    • hpr_parent_slow_child_default
    • hpr_parent_slow_child_slow
    Figure 7. New Implementation Revisions
  6. Verify that the .qsf file for each revision contains the following assignment:
    set_global_assignment -name REVISION_TYPE PR_IMPL
    set_instance_assignment -name ENTITY_REBINDING place_holder -to u_blinking_led
    where, place_holder is the default entity name for the newly created PR implementation revision.