Intel® Quartus® Prime Standard Edition User Guide: Scripting

ID 683325
Date 9/24/2018
Public
Document Table of Contents

2.5. Creating Projects and Making Assignments

You can create a script that makes all the assignments for an existing project, and then use the script at any time to restore your project settings to a known state.

Click Project > Generate Tcl File for Project to automatically generate a .tcl file containing your assignments. You can source this file to recreate your project, and you can add other commands to this file, such as commands for compiling the design. This file is a good starting point to learn about project management and assignment commands.

To commit the assignments you create or modify to the .qsf file, you use the export_assignments or project_close commands. However, when you run the execute_flow command, Intel® Quartus® Prime software automatically commits the assignment changes to the .qsf file. To prevent this behavior, specify the -dont_export_assignments logic option.

Create and Compile a Project

The following example creates a project, makes assignments, and compiles the design. The example uses the fir_filter tutorial design files in the qdesigns installation directory. Run this script in the fir_filter directory, with the quartus_sh executable.

load_package flow
# Create the project and overwrite any settings
# files that exist
project_new fir_filter -revision filtref -overwrite
# Set the device, the name of the top-level BDF,
# and the name of the top-level entity
set_global_assignment -name FAMILY Cyclone
set_global_assignment -name DEVICE EP1C6F256C6
set_global_assignment -name BDF_FILE filtref.bdf
set_global_assignment -name TOP_LEVEL_ENTITY filtref
# Add other pin assignments here
set_location_assignment -to clk Pin_G1
# compile the project
execute_flow -compile
project_close