Intel® Quartus® Prime Standard Edition User Guide: Scripting

ID 683325
Date 9/24/2018
Public
Document Table of Contents

1.5. Compilation with quartus_sh --flow

The figure shows a typical Intel® Quartus® Prime FPGA design flow using command-line executables.
Figure 2. Typical Design Flow

Use the quartus_sh executable with the --flow option to perform a complete compilation flow with a single command. The --flow option supports the smart recompile feature and efficiently sets command-line arguments for each executable in the flow.

The following example runs compilation, timing analysis, and programming file generation with a single command:

quartus_sh --flow compile filtref
Tip: For information about specialized flows, type quartus_sh --help=flow at a command prompt.