O-RAN Intel® FPGA IP Design Example User Guide

ID 683218
Date 9/14/2021
Public

A newer version of this document is available. Customers should click here to go to the newest version.

2.2. Simulating the O-RAN IP Design Example

  1. Turn on Example Design > Files Types Generated > Simulation.
  2. Run the script to simulate the testbench in the ModelSim, VCS, or VCS MX simulators.
    Table 2.  Simulation Scripts
    Simulator File Directory Script
    ModelSim Altera SE <variation name> oran_testbench/simulation/setup_scripts/mentor run_vsim.do
    VCS <variation name>oran _testbench/simulation/setup_scripts/synopsys/vcs run_vcs.sh
    VCSMX <variation name>oran _testbench/simulation/setup_scripts/synopsys/vcsmx run_vcsmx.sh
    Figure 3. Transmitter Top-Level Simulation
    Figure 4. Transmitter Top-Level Simulation (Zoomed)
    Figure 5. Receiver Top-Level Simulation
    Figure 6. Receiver Top-Level Simulation (Zoomed)
    Figure 7. Simulation Transcript Window (Number of Channels = 4)
    # Waiting for RX alignment
    # RX deskew locked
    # RX lane aligmnent locked
    # Waiting for link fault clear
    # Link fault clear
    # 		 MAC Source Address 0_0 Channel           0:  33445566
    # 		 MAC Source Address 0_1 Channel           0:  00007788
    # 		 MAC Destination Address 0_0 Channel           0:  33445566
    # 		 MAC Destination Address 0_1 Channel           0:  00007788
    # 		 MAC Destination Address 1_0 Channel           0:  11223344
    # 		 MAC Destination Address 1_1 Channel           0:  00005566
    # 		 MAC Destination Address 2_0 Channel           0:  22334455
    # 		 MAC Destination Address 2_1 Channel           0:  00006677
    # 		 MAC Destination Address 3_0 Channel           0:  44556677
    # 		 MAC Destination Address 3_1 Channel           0:  00008899
    # 		 MAC Destination Address 4_0 Channel           0:  66778899
    # 		 MAC Destination Address 4_1 Channel           0:  0000aabb
    # 		 MAC Destination Address 5_0 Channel           0:  778899aa
    # 		 MAC Destination Address 5_1 Channel           0:  0000bbcc
    # 		 MAC Destination Address 6_0 Channel           0:  8899aabb
    # 		 MAC Destination Address 6_1 Channel           0:  0000ccdd
    # 		 MAC Destination Address 7_0 Channel           0:  99aabbcc
    # 		 MAC Destination Address 7_1 Channel           0:  0000ddee
    # 		 eCPRI Common Control Channel           0:  00000041
    # 		 Enable interrupt eCPRI Common Control  Channel           0:  00000241
    # 		 eCPRI version Channel           0:     2
    # 		 Disable Oran RX Window Enable Channel           0:  00000000
    # 		 Disable Oran TX Window Enable Channel           0:  00000000
    # 		 Enable Oran Static Functional Mode Channel           0:  00000000
    # 		 Oran Static Static udCompHdr Channel           0:  00000083
    # 		 MAC Source Address 0_0 Channel           1:  33445566
    # 		 MAC Source Address 0_1 Channel           1:  00007788
    # 		 MAC Destination Address 0_0 Channel           1:  33445566
    # 		 MAC Destination Address 0_1 Channel           1:  00007788
    # 		 MAC Destination Address 1_0 Channel           1:  11223344
    # 		 MAC Destination Address 1_1 Channel           1:  00005566
    # 		 MAC Destination Address 2_0 Channel           1:  22334455
    # 		 MAC Destination Address 2_1 Channel           1:  00006677
    # 		 MAC Destination Address 3_0 Channel           1:  44556677
    # 		 MAC Destination Address 3_1 Channel           1:  00008899
    # 		 MAC Destination Address 4_0 Channel           1:  66778899
    # 		 MAC Destination Address 4_1 Channel           1:  0000aabb
    # 		 MAC Destination Address 5_0 Channel           1:  778899aa
    # 		 MAC Destination Address 5_1 Channel           1:  0000bbcc
    # 		 MAC Destination Address 6_0 Channel           1:  8899aabb
    # 		 MAC Destination Address 6_1 Channel           1:  0000ccdd
    # 		 MAC Destination Address 7_0 Channel           1:  99aabbcc
    # 		 MAC Destination Address 7_1 Channel           1:  0000ddee
    # 		 eCPRI Common Control Channel           1:  00000041
    # 		 Enable interrupt eCPRI Common Control  Channel           1:  00000241
    # 		 eCPRI version Channel           1:     2
    # 		 Disable Oran RX Window Enable Channel           1:  00000000
    # 		 Disable Oran TX Window Enable Channel           1:  00000000
    # 		 Enable Oran Static Functional Mode Channel           1:  00000000
    # 		 Oran Static Static udCompHdr Channel           1:  00000083
    # 		 MAC Source Address 0_0 Channel           2:  33445566
    # 		 MAC Source Address 0_1 Channel           2:  00007788
    # 		 MAC Destination Address 0_0 Channel           2:  33445566
    # 		 MAC Destination Address 0_1 Channel           2:  00007788
    # 		 MAC Destination Address 1_0 Channel           2:  11223344
    # 		 MAC Destination Address 1_1 Channel           2:  00005566
    # 		 MAC Destination Address 2_0 Channel           2:  22334455
    # 		 MAC Destination Address 2_1 Channel           2:  00006677
    # 		 MAC Destination Address 3_0 Channel           2:  44556677
    # 		 MAC Destination Address 3_1 Channel           2:  00008899
    # 		 MAC Destination Address 4_0 Channel           2:  66778899
    # 		 MAC Destination Address 4_1 Channel           2:  0000aabb
    # 		 MAC Destination Address 5_0 Channel           2:  778899aa
    # 		 MAC Destination Address 5_1 Channel           2:  0000bbcc
    # 		 MAC Destination Address 6_0 Channel           2:  8899aabb
    # 		 MAC Destination Address 6_1 Channel           2:  0000ccdd
    # 		 MAC Destination Address 7_0 Channel           2:  99aabbcc
    # 		 MAC Destination Address 7_1 Channel           2:  0000ddee
    # 		 eCPRI Common Control Channel           2:  00000041
    # 		 Enable interrupt eCPRI Common Control  Channel           2:  00000241
    # 		 eCPRI version Channel           2:     2
    # 		 Disable Oran RX Window Enable Channel           2:  00000000
    # 		 Disable Oran TX Window Enable Channel           2:  00000000
    # 		 Enable Oran Static Functional Mode Channel           2:  00000000
    # 		 Oran Static Static udCompHdr Channel           2:  00000083
    # 		 MAC Source Address 0_0 Channel           3:  33445566
    # 		 MAC Source Address 0_1 Channel           3:  00007788
    # 		 MAC Destination Address 0_0 Channel           3:  33445566
    # 		 MAC Destination Address 0_1 Channel           3:  00007788
    # 		 MAC Destination Address 1_0 Channel           3:  11223344
    # 		 MAC Destination Address 1_1 Channel           3:  00005566
    # 		 MAC Destination Address 2_0 Channel           3:  22334455
    # 		 MAC Destination Address 2_1 Channel           3:  00006677
    # 		 MAC Destination Address 3_0 Channel           3:  44556677
    # 		 MAC Destination Address 3_1 Channel           3:  00008899
    # 		 MAC Destination Address 4_0 Channel           3:  66778899
    # 		 MAC Destination Address 4_1 Channel           3:  0000aabb
    # 		 MAC Destination Address 5_0 Channel           3:  778899aa
    # 		 MAC Destination Address 5_1 Channel           3:  0000bbcc
    # 		 MAC Destination Address 6_0 Channel           3:  8899aabb
    # 		 MAC Destination Address 6_1 Channel           3:  0000ccdd
    # 		 MAC Destination Address 7_0 Channel           3:  99aabbcc
    # 		 MAC Destination Address 7_1 Channel           3:  0000ddee
    # 		 eCPRI Common Control Channel           3:  00000041
    # 		 Enable interrupt eCPRI Common Control  Channel           3:  00000241
    # 		 eCPRI version Channel           3:     2
    # 		 Disable Oran RX Window Enable Channel           3:  00000000
    # 		 Disable Oran TX Window Enable Channel           3:  00000000
    # 		 Enable Oran Static Functional Mode Channel           3:  00000000
    # 		 Oran Static Static udCompHdr Channel           3:  00000083
    # __________________________________________________________
    # 	 INFO: Out of reset status 
    # __________________________________________________________
    # 
    # 
    # 		 Channel           0 Oran TX C SOPs count :           0
    # 		 Channel           0 Oran TX C EOPs count :           0
    # 		 Channel           0 Oran RX C SOPs count :           0
    # 		 Channel           0 Oran RX C EOPs count :           0
    # 		 Channel           0 Oran TX U SOPs count :           0
    # 		 Channel           0 Oran TX U EOPs count :           0
    # 		 Channel           0 Oran RX U SOPs count :           0
    # 		 Channel           0 Oran RX U EOPs count :           0
    # 		 Channel           0 External PTP TX SOPs count :           0
    # 		 Channel           0 External PTP TX EOPs count :           0
    # 		 Channel           0 External MISC TX SOPs count :           0
    # 		 Channel           0 External MISC TX EOPs count :           0
    # 		 Channel           0 External RX SOPs count :           0
    # 		 Channel           0 External RX EOPs count :           0
    # 		 Channel           1 Oran TX C SOPs count :           0
    # 		 Channel           1 Oran TX C EOPs count :           0
    # 		 Channel           1 Oran RX C SOPs count :           0
    # 		 Channel           1 Oran RX C EOPs count :           0
    # 		 Channel           1 Oran TX U SOPs count :           0
    # 		 Channel           1 Oran TX U EOPs count :           0
    # 		 Channel           1 Oran RX U SOPs count :           0
    # 		 Channel           1 Oran RX U EOPs count :           0
    # 		 Channel           1 External PTP TX SOPs count :           0
    # 		 Channel           1 External PTP TX EOPs count :           0
    # 		 Channel           1 External MISC TX SOPs count :           0
    # 		 Channel           1 External MISC TX EOPs count :           0
    # 		 Channel           1 External RX SOPs count :           0
    # 		 Channel           1 External RX EOPs count :           0
    # 		 Channel           2 Oran TX C SOPs count :           0
    # 		 Channel           2 Oran TX C EOPs count :           0
    # 		 Channel           2 Oran RX C SOPs count :           0
    # 		 Channel           2 Oran RX C EOPs count :           0
    # 		 Channel           2 Oran TX U SOPs count :           0
    # 		 Channel           2 Oran TX U EOPs count :           0
    # 		 Channel           2 Oran RX U SOPs count :           0
    # 		 Channel           2 Oran RX U EOPs count :           0
    # 		 Channel           2 External PTP TX SOPs count :           0
    # 		 Channel           2 External PTP TX EOPs count :           0
    # 		 Channel           2 External MISC TX SOPs count :           0
    # 		 Channel           2 External MISC TX EOPs count :           0
    # 		 Channel           2 External RX SOPs count :           0
    # 		 Channel           2 External RX EOPs count :           0
    # 		 Channel           3 Oran TX C SOPs count :           0
    # 		 Channel           3 Oran TX C EOPs count :           0
    # 		 Channel           3 Oran RX C SOPs count :           0
    # 		 Channel           3 Oran RX C EOPs count :           0
    # 		 Channel           3 Oran TX U SOPs count :           0
    # 		 Channel           3 Oran TX U EOPs count :           0
    # 		 Channel           3 Oran RX U SOPs count :           0
    # 		 Channel           3 Oran RX U EOPs count :           0
    # 		 Channel           3 External PTP TX SOPs count :           0
    # 		 Channel           3 External PTP TX EOPs count :           0
    # 		 Channel           3 External MISC TX SOPs count :           0
    # 		 Channel           3 External MISC TX EOPs count :           0
    # 		 Channel           3 External RX SOPs count :           0
    # 		 Channel           3 External RX EOPs count :           0
    # __________________________________________________________
    # 	 INFO: Start transmitting packets
    # __________________________________________________________
    # 
    # 
    # 	 INFO: Waiting for the Channel           0 C-plane TX traffic transfer to complete
    # 	 INFO: Channel           0 C-plane TX traffic transfer completed
    # 	 INFO: Waiting for the Channel           0 U-plane TX traffic transfer to complete
    # 	 INFO: Channel           0 U-plane TX traffic transfer completed
    # 	 INFO: Waiting for the Channel           0 eCPRI External TX PTP traffic transfer to complete
    # 	 INFO: Channel           0 eCPRI External TX PTP traffic transfer completed
    # 	 INFO: Waiting for the Channel           0 eCPRI External TX Misc traffic transfer to complete
    # 	 INFO: Channel           0 eCPRI External TX Misc traffic transfer completed
    # 	 INFO: Waiting for the Channel           1 C-plane TX traffic transfer to complete
    # 	 INFO: Channel           1 C-plane TX traffic transfer completed
    # 	 INFO: Waiting for the Channel           1 U-plane TX traffic transfer to complete
    # 	 INFO: Channel           1 U-plane TX traffic transfer completed
    # 	 INFO: Waiting for the Channel           1 eCPRI External TX PTP traffic transfer to complete
    # 	 INFO: Channel           1 eCPRI External TX PTP traffic transfer completed
    # 	 INFO: Waiting for the Channel           1 eCPRI External TX Misc traffic transfer to complete
    # 	 INFO: Channel           1 eCPRI External TX Misc traffic transfer completed
    # 	 INFO: Waiting for the Channel           2 C-plane TX traffic transfer to complete
    # 	 INFO: Channel           2 C-plane TX traffic transfer completed
    # 	 INFO: Waiting for the Channel           2 U-plane TX traffic transfer to complete
    # 	 INFO: Channel           2 U-plane TX traffic transfer completed
    # 	 INFO: Waiting for the Channel           2 eCPRI External TX PTP traffic transfer to complete
    # 	 INFO: Channel           2 eCPRI External TX PTP traffic transfer completed
    # 	 INFO: Waiting for the Channel           2 eCPRI External TX Misc traffic transfer to complete
    # 	 INFO: Channel           2 eCPRI External TX Misc traffic transfer completed
    # 	 INFO: Waiting for the Channel           3 C-plane TX traffic transfer to complete
    # 	 INFO: Channel           3 C-plane TX traffic transfer completed
    # 	 INFO: Waiting for the Channel           3 U-plane TX traffic transfer to complete
    # 	 INFO: Channel           3 U-plane TX traffic transfer completed
    # 	 INFO: Waiting for the Channel           3 eCPRI External TX PTP traffic transfer to complete
    # 	 INFO: Channel           3 eCPRI External TX PTP traffic transfer completed
    # 	 INFO: Waiting for the Channel           3 eCPRI External TX Misc traffic transfer to complete
    # 	 INFO: Channel           3 eCPRI External TX Misc traffic transfer completed
    # __________________________________________________________
    # 	 INFO: Stop transmitting packets
    # __________________________________________________________
    # 
    # 
    # __________________________________________________________
    # 	 INFO: Checking packets statistics
    # __________________________________________________________
    # 
    # 
    # 		 Channel           0 Oran C SOPs transmitted:         300
    # 		 Channel           0 Oran C EOPs transmitted:         300
    # 		 Channel           0 Oran C SOPs received:            300
    # 		 Channel           0 Oran C EOPs received:            300
    # 		 Channel           0 Oran C Error reported:              0
    # 		 Channel           0 Oran U SOPs transmitted:         300
    # 		 Channel           0 Oran U EOPs transmitted:         300
    # 		 Channel           0 Oran U SOPs received:            300
    # 		 Channel           0 Oran U EOPs received:            300
    # 		 Channel           0 Oran U Error reported:              0
    # 		 Channel           0 External PTP SOPs transmitted:           4
    # 		 Channel           0 External PTP EOPs transmitted:           4
    # 		 Channel           0 External MISC SOPs transmitted:         128
    # 		 Channel           0 External MISC EOPs transmitted:         128
    # 		 Channel           0 External SOPs received:            132
    # 		 Channel           0 External EOPs received:            132
    # 		 Channel           0 External PTP SOPs received:              4
    # 		 Channel           0 External PTP EOPs received:              4
    # 		 Channel           0 External MISC SOPs received:            128
    # 		 Channel           0 External MISC EOPs received:            128
    # 		 Channel           0 External Error reported:              0
    # 		 Channel           0 External Timestamp Fingerprint Error reported:              0
    # 		 Channel           1 Oran C SOPs transmitted:         300
    # 		 Channel           1 Oran C EOPs transmitted:         300
    # 		 Channel           1 Oran C SOPs received:            300
    # 		 Channel           1 Oran C EOPs received:            300
    # 		 Channel           1 Oran C Error reported:              0
    # 		 Channel           1 Oran U SOPs transmitted:         300
    # 		 Channel           1 Oran U EOPs transmitted:         300
    # 		 Channel           1 Oran U SOPs received:            300
    # 		 Channel           1 Oran U EOPs received:            300
    # 		 Channel           1 Oran U Error reported:              0
    # 		 Channel           1 External PTP SOPs transmitted:           4
    # 		 Channel           1 External PTP EOPs transmitted:           4
    # 		 Channel           1 External MISC SOPs transmitted:         128
    # 		 Channel           1 External MISC EOPs transmitted:         128
    # 		 Channel           1 External SOPs received:            132
    # 		 Channel           1 External EOPs received:            132
    # 		 Channel           1 External PTP SOPs received:              4
    # 		 Channel           1 External PTP EOPs received:              4
    # 		 Channel           1 External MISC SOPs received:            128
    # 		 Channel           1 External MISC EOPs received:            128
    # 		 Channel           1 External Error reported:              0
    # 		 Channel           1 External Timestamp Fingerprint Error reported:              0
    # 		 Channel           2 Oran C SOPs transmitted:         300
    # 		 Channel           2 Oran C EOPs transmitted:         300
    # 		 Channel           2 Oran C SOPs received:            300
    # 		 Channel           2 Oran C EOPs received:            300
    # 		 Channel           2 Oran C Error reported:              0
    # 		 Channel           2 Oran U SOPs transmitted:         300
    # 		 Channel           2 Oran U EOPs transmitted:         300
    # 		 Channel           2 Oran U SOPs received:            300
    # 		 Channel           2 Oran U EOPs received:            300
    # 		 Channel           2 Oran U Error reported:              0
    # 		 Channel           2 External PTP SOPs transmitted:           4
    # 		 Channel           2 External PTP EOPs transmitted:           4
    # 		 Channel           2 External MISC SOPs transmitted:         128
    # 		 Channel           2 External MISC EOPs transmitted:         128
    # 		 Channel           2 External SOPs received:            132
    # 		 Channel           2 External EOPs received:            132
    # 		 Channel           2 External PTP SOPs received:              4
    # 		 Channel           2 External PTP EOPs received:              4
    # 		 Channel           2 External MISC SOPs received:            128
    # 		 Channel           2 External MISC EOPs received:            128
    # 		 Channel           2 External Error reported:              0
    # 		 Channel           2 External Timestamp Fingerprint Error reported:              0
    # 		 Channel           3 Oran C SOPs transmitted:         300
    # 		 Channel           3 Oran C EOPs transmitted:         300
    # 		 Channel           3 Oran C SOPs received:            300
    # 		 Channel           3 Oran C EOPs received:            300
    # 		 Channel           3 Oran C Error reported:              0
    # 		 Channel           3 Oran U SOPs transmitted:         300
    # 		 Channel           3 Oran U EOPs transmitted:         300
    # 		 Channel           3 Oran U SOPs received:            300
    # 		 Channel           3 Oran U EOPs received:            300
    # 		 Channel           3 Oran U Error reported:              0
    # 		 Channel           3 External PTP SOPs transmitted:           4
    # 		 Channel           3 External PTP EOPs transmitted:           4
    # 		 Channel           3 External MISC SOPs transmitted:         128
    # 		 Channel           3 External MISC EOPs transmitted:         128
    # 		 Channel           3 External SOPs received:            132
    # 		 Channel           3 External EOPs received:            132
    # 		 Channel           3 External PTP SOPs received:              4
    # 		 Channel           3 External PTP EOPs received:              4
    # 		 Channel           3 External MISC SOPs received:            128
    # 		 Channel           3 External MISC EOPs received:            128
    # 		 Channel           3 External Error reported:              0
    # 		 Channel           3 External Timestamp Fingerprint Error reported:              0
    # __________________________________________________________
    # 	 INFO: Test PASSED 
    # 
    # __________________________________________________________