AN 905: JESD204B Intel® FPGA IP and ADI AD9213 Interoperability Report for Intel Stratix® 10 Devices

ID 683056
Date 12/16/2019
Public

1.3.1.2. Initial Frame and Lane Synchronization

Table 2.  Initial Frame and Lane Synchronization Test Cases
Test Case Objective Description Passing Criteria

ILA.1

Check whether the initial frame synchronization state machine enters FS_DATA state upon receiving non /K/ characters.
The following signals in <ip_variant_name>_inst_phy.v are tapped:
  • jesd204_rx_pcs_data[(L*32)-1..0]
  • jesd204_rx_pcs_data[L-1..0]
  • jesd204_rx_pcs_kchar_data[(L*4)-1..0] 2
The following signals in <ip_variant_name>.v are tapped:
  • rx_dev_sync_n
  • jesd204_rx_int

The rxlink_clk signal is used as the sampling clock for the Signal Tap logic analyzer.

Each lane is represented by a 32-bit data bus for the jesd204_rx_pcs_data signal. The 32-bit data bus is divided into four octets.

  • The /R/ character or K28.0 (0x1C) is observed after /K/ character at the jesd204_rx_pcs_data bus.
  • The jesd204_rx_pcs_data_valid signal must be asserted to indicate that data from the PCS is valid.
  • The rx_dev_sync_n and jesd204_rx_int signals are deasserted.
  • Each multiframe in ILAS phase ends with /A/ character or K28.3 (0x7C).
  • The jesd204_rx_pcs_kchar_data signal is asserted whenever control characters like /K/, /R/, /Q/ or /A/ characters are observed.

ILA.2

Check that the JESD204B configuration parameters from ADC in the second multiframe.
The following signal in <ip_variant_name>_inst_phy.v is tapped:
  • jesd204_rx_pcs_data[(L*32)-1..0] 2
  • jesd204_rx_pcs_data_valid[L-1..0] 2
The following signal in <ip_variant_name>.v is tapped:
  • jesd204_rx_int

The rxlink_clk signal is used as the sampling clock for the Signal Tap logic analyzer.

The system console accesses the following registers:
  • ilas_octet0
  • ilas_octet1
  • ilas_octet2
  • ilas_octet3

The content of 14 configuration octets in the second multiframe is stored in the above 32-bit registers – ilas_octet0, ilas_octet1, ilas_octet2, and ilas_octet3.

  • The /R/ character is followed by /Q/ character or K28.4 (0x9C) at the beginning of second multiframe.
  • The jesd204_rx_int signal is deasserted if there is no error.
  • Octets 0-13 read from these registers match with the JESD204B parameters in each test setup.

ILA.3

Check the lane alignment.
The following signal in <ip_variant_name>_inst_phy.v is tapped:
  • jesd204_rx_pcs_data[(L*32)-1..0]
  • jesd204_rx_pcs_data_valid[L-1..0] 2
The following signal in <ip_variant_name>.v is tapped:
  • rx_somf[3..0]
  • dev_lane_aligned
  • jesd204_rx_int

The rxlink_clk signal is used as the sampling clock for the Signal Tap logic analyzer.

  • The dev_lane_aligned is asserted upon the last /A/ character of the ILAS is received, which is followed by the first data octet.
  • The rx_somf marks the start of multiframe in user data phase.
  • The jesd204_rx_int signal is deasserted if there is no error.
2 L is the number of lanes.