Avalon® 存储器映射(Avalon-MM) Intel® Stratix® 10 Hard IP+用于 PCI Express* 解决方案用户手册

ID 683527
日期 9/30/2019
Public
文档目录

5.2. 仿真

生成您的参数化 PCI Express* IP核后, Intel® Quartus® Prime Pro Edition软件可选择生成功能仿真模型,测试台或设计实例以及供应商指定仿真器设置脚本。对于Endpoints,此生成会创建一个Root Port BFM。对于Root Ports,此生成会创建一个Endpoint BFM。 Intel® Quartus® Prime Pro Edition的本次发布中不支持Root Port。

Intel® Quartus® Prime Pro Edition支持以下仿真器。

表 47.  支持的仿真器
供应商 仿真程序 版本 平台
Aldec Active-HDL * 10.3 Windows
Aldec Riviera-PRO * 2016.10 Windows, Linux
Cadence Incisive Enterprise* 15.20 Linux
Cadence Xcelium* Parallel Simulator 17.04.014 Linux
Mentor Graphics ModelSim SE* 10.5c Windows, Linux
Mentor Graphics QuestaSim* 10.5c Windows, Linux
Synopsys VCS*/VCS MX* 2016,06-SP-1 Linux
注: Intel测试台和Root Port BFM提供了一种简单的方法来执行与variation对接的Application Layer逻辑的基本测试。此BFM允许通过可配置的参数创建并运行简单任务激励,以练习实例设计的基本功能。测试台和Root Port BFM并不取代完整的验证环境。不包括特殊情况和某些流量剖析激励。为确保最佳验证范围, Intel强烈建议您获得市售的PCI Express验证IP和工具,或者自己进行广泛的硬件测试,或两者兼备。