Quartus® Prime Pro Edition User Guide: Third-party Simulation

ID 683870
Date 4/01/2024
Public
Document Table of Contents

5.1. Using the Command-Line Interface

The Quartus® Prime Pro Edition software provides command-line support for the Xcelium* Parallel Simulator.

The following Xcelium* simulation executables are available:

Table 13.   Xcelium* Simulation Executables
Program Function
xrun xrun compiles and runs your design based on the compilation and run options you define.
xmvlog

xmvlog compiles your Verilog HDL code and performs syntax and static semantics checks.

xmvhdl

xmvhdl compiles your VHDL code and performs syntax and static semantics checks.

xmelab Elaborates the design hierarchy and determines signal connectivity.
xmsim Runs mixed-language simulation. This program is the simulation kernel that performs event scheduling and executes the simulation code.