AN 869: Partially Reconfiguring a Design: on Intel® Cyclone® 10 GX FPGA Development Board

ID 683503
Date 7/15/2019
Public

Step 8: Preparing PR Implementation Revisions

You must prepare the PR implementation revisions before you can compile and generate the PR bitstream for device programming. This setup includes adding the static region .qdb file as the source file for each implementation revision. In addition, you must specify the corresponding entity of the PR region.
  1. To set the current revision, click Project > Revisions, select blinking_led_default as the Revision name, and then click Set Current.
  2. To verify the correct source for each implementation revision, click Project > Add/Remove Files in Project. The blinking_led.sv file appears in the file list.
    Figure 10. 
  3. Repeat steps 1 through 2 to verify or add the following other implementation revision source files:
    Implementation Revision Name Source File
    blinking_led_default blinking_led.sv
    blinking_led_empty blinking_led_empty.sv
    blinking_led_slow blinking_led_slow.sv
  4. Set blinking_led_default as the current revision.
  5. To set the .qdb file associated with the root partition, click Assignments > Design Partitions Window. Double-click the Partition Database File cell and specify the blinking_led_static.qdb file.
  6. In the Entity Re-binding cell, specify the entity name of each PR partition that you change in the implementation revision. For the blinking_led_default implementation revision, specify the blinking_led name. This assignment overwrites the u_blinking_led instance from the base revision compile with the new blinking_led entity.
    Figure 11. Specifying Partition Database File and Entity Rebinding
    Alternatively, the following command assigns this file:
    
    set_instance_assignment -name QDB_FILE_PARTITION \
    	  blinking_led_static.qdb -to |
  7. Repeat steps 4 through 6 to assign the same settings for the other revisions:
    Table 4.  Implementation Revision Entity Rebinding
    Implementation Revision Name Entity Re-binding
    blinking_led_default blinking_led
    blinking_led_slow blinking_led_slow
    blinking_led_empty blinking_led_empty
  8. To compile the design, click Processing > Start Compilation. Alternatively, the following command compiles this project:
    quartus_sh --flow compile blinking_led –c blinking_led_default
  9. Repeat steps 1 through 8 to prepare blinking_led_slow and blinking_led_empty implementation revisions.
    Note: You can specify any Fitter specific settings that you want to apply during the PR implementation compilation. Fitter specific settings impact only the fit of the persona, without affecting the imported static region.