Intel® Quartus® Prime Standard Edition User Guide: Scripting

ID 683325
Date 9/24/2018
Public
Document Table of Contents

1.9. The QFlow Script

A Tcl/Tk-based graphical interface called QFlow is included with the command-line executables. You can use the QFlow interface to open projects, launch some of the command-line executables, view report files, and make some global project assignments.

The QFlow interface can run the following command-line executables:

  • quartus_map (Analysis and Synthesis)
  • quartus_fit (Fitter)
  • quartus_sta (Timing Analyzer)
  • quartus_asm (Assembler)
  • quartus_eda (EDA Netlist Writer)

    To view floorplans or perform other GUI-intensive tasks, launch the Intel® Quartus® Prime software.

    Start QFlow by typing the following command at a command prompt:

    quartus_sh -g 
    Tip: The QFlow script is located in the < Intel® Quartus® Prime directory>/common/tcl/apps/qflow/ directory.