Intel® Quartus® Prime Standard Edition User Guide: Scripting

ID 683325
Date 9/24/2018
Public
Document Table of Contents

1.2. Introductory Example

Create a new Intel® Quartus® Prime project, fit the design, and generate programming files with this example included with the Intel® Quartus® Prime software.
If installed, the tutorial design is located in the < Intel® Quartus® Prime directory> /qdesigns/fir_filter directory.
  1. Ensure that < Intel® Quartus® Prime directory>/quartus/bin directory is in your PATH environment variable.
  2. Copy the tutorial directory in a local folder.
  3. In a console, type the four commands in the new project directory:
    quartus_map filtref --source=filtref.bdf --family="Cyclone V"
    quartus_fit filtref --part=EP3C10F256C8 --pack_register=minimize_area
    quartus_asm filtref					
    quartus_sta filtref
    1. With the first instruction you create a new Intel® Quartus® Prime project named filtref, set the top-level file as filtref.bdf, set Cyclone® V as the target device family, and perform logic synthesis and technology mapping on the design files.
    2. The second command performs place and route by fitting the filtref project into the specified device, and directs the Fitter to pack sequential and combinational functions into single logic cells to reduce device resource usage.
    3. The third command creates a device programming image for the filtref project.
    4. The last line performs basic timing analysis on the filtref project using the Intel® Quartus® Prime Timing Analyzer, reporting worst-case setup slack, worst-case hold slack, and other measurements.
  4. Create a batch file or script file with the commands, like the UNIX shell script below:
    #!/bin/sh
    PROJECT=filtref
    TOP_LEVEL_FILE=filtref.bdf
    FAMILY="Cyclone V"
    PART=EP3C10F256C8
    PACKING_OPTION=minimize_area
    quartus_map $PROJECT --source=$TOP_LEVEL_FILE --family=$FAMILY
    quartus_fit $PROJECT --part=$PART --pack_register=$PACKING_OPTION
    quartus_asm $PROJECT
    quartus_sta $PROJECT
  5. Execute the script and compile your project.