Intel® Quartus® Prime Pro Edition User Guide: Programmer

ID 683039
Date 9/26/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

1.8. Generating Programming Files Revision History

Document Version Intel® Quartus® Prime Version Changes
2022.09.26 22.3
  • Updated "Generating Secondary Programming Files (Programming File Generator)"
  • Updated "Generating Secondary Programming Files (Settings: Programming Files Dialog Box)"
  • Removed footnotes saying that security features are not available for Intel® Agilex™ devices.

    For details about security features for Intel® Agilex™ devices, refer to the Intel® Agilex™ Device Security User Guide .

2021.10.04 21.3
  • Added "Generating Secondary Programming Files (Settings: Programming Files Dialog Box)" topic.
2020.12.14 20.4
  • Added new "Generating Programming Files for the HPS Flash Programmer" section.
2020.10.13 20.3
  • Added more details about alternate configuration schemes to "quartus_pfg Command Line Tool" topic.
2020.05.08 19.4
  • Added note about programming file differences to "Generating Primary Device Programming Files" topic.
2019.12.16 19.4
  • Added programming file generation support for Intel® Agilex™ devices.
  • Noted Intel® Agilex™ security feature limitations.
2019.09.30 19.3
  • Added new “Enabling Bitstream Security for Intel Stratix 10 Devices” topic.
  • Added new “Enabling Bitstream Authentication (Programming File Generator)” topic.
  • Added new “Specifying Additional Physical Security Settings (Programming File Generator)” topic.
  • Added new “Enabling Bitstream Encryption (Programming File Generator)” topic.
  • Updated name of “Authentication and Encryption” tab to “Security” tab.
  • Added footnote about programming file support for Intel® Agilex™ devices.
  • Described new More Security Settings dialog box.
2019.06.10 19.1
  • Added links to Generic Flash Programmer User Guide.
  • Added flash programming details to "Generating Secondary Programming Files" and created separate topics for Programming File Generator and Convert Programming Files dialog box.
  • Added new "Enabling Bitstream Encryption or Co-Signing (Programming File Generator)" topic.
  • Added new "Enabling Bitstream Compression or Encryption (Convert Programming File)" topic.
  • Updated screenshots for latest GUI.
2019.04.01 19.1
  • Retitled and reorganized topics to improve flow of information.
  • Added "Programming File Generator Configuration Modes" topic.
  • Added "Convert Programming File Configuration Modes" topic.
  • Added "Generating Programming Files for Partial Reconfiguration."
  • Added "Generating PR Bitstreams Files."
  • Added "Partial Reconfiguration Bitstream Compatibility Checking."
  • Added "Raw Binary Programming File Byte Sequence Transmission Examples."
  • Added "Generating a Merged .pmsf File from Multiple .pmsf Files."
2018.10.09 18.1
  • Added MAX V to the list of devices that the Programming File Generator tool supports.
  • Added table : Device Families that the Convert Programming Files Tool Supports.
2018.09.24 18.1
  • Added topic: quartus_cpf Command Line Tool.
  • Stated that the Convert Programming Files dialog box is a legacy tool that supports file conversion for older device families.
  • In topic: Output File Types, specified that the list includes file types generated by the Converting Programming Files tool.
2018.08.07 18.0 Reverted document title to Programmer User Guide: Intel Quartus Prime Pro Edition.
2018.06.27 18.0
  • Created the new chapter with information from the Programming Devices chapter.
  • Included information about the Programming File Generator tool.