F-Tile Low Latency 50G Ethernet Intel® FPGA IP Design Example User Guide

ID 816968
Date 4/01/2024
Public

1.2. Generating the Design Example

Generate the design example from the IP Parameter Editor.
Figure 3. Procedure
Figure 4. Example Design Tab in the F-Tile Low Latency 50G Ethernet Intel® FPGA IP Parameter Editor

Follow these steps to generate the hardware design example and testbench:

  1. In the Quartus® Prime Pro Edition, click File > New Project Wizard to create a new Quartus Prime project, or File > Open Project to open an existing Quartus® Prime project. The wizard prompts you to specify a device family and device.
  2. In the IP Catalog, locate and select F-Tile Low Latency 50G Ethernet Intel® FPGA IP . The New IP Variation window appears.
  3. Specify a top-level name <your_ip> for your custom IP variation. The parameter editor saves the IP variation settings in a file named <your_ip> .ip.
  4. Click OK. The parameter editor appears.
  5. On the IP tab, specify the parameters for your IP variation. Refer to the Design Components table for information about specific IP core parameters.
  6. On the Example Design tab, under Example Design Files, select the Simulation option to generate the testbench, and select the Synthesis option to generate the compilation-only and hardware design examples.
  7. Under Target Development Kit select the Agilex 7 Transceiver Signal Integrity Development Kit (Production).
    Note: The development kit that you select overwrites the device selection in step 1.
  8. Click the Generate Example Design button. The Select Example Design Directory window appears.
  9. If you want to modify the design example directory path or name from the defaults displayed ( alt_e50_f_0_example_design), browse to the new path and type the new design example directory name (<design_example_dir>).
  10. Click OK.