Nios® V Processor Software Developer Handbook

ID 743810
Date 4/01/2024
Public
Document Table of Contents

12.3.5. Build FreeRTOS BSP and Application Projects

To build the projects in Ashling* RiscFree* RiscFree IDE for Intel FPGAs, refer to Ashling* RiscFree* RiscFree IDE for Intel FPGA for Intel® FPGAs User Guide on importing, building, and debugging Nios® V processor application.

To build the projects in the command line, proceed using the cmake and make commands.
$ cmake -G "Unix Makefiles" -DCMAKE_BUILD_TYPE=Debug -B \
<APP folder>/debug -S <APP folder>
$ make -C <APP folder>/debug 

Once the FreeRTOS-based .elf file is generated, you can continue programming the Nios® V processor into the target FPGA device.