Ashling* RiscFree* Integrated Development Environment (IDE) for Intel® FPGAs User Guide

ID 730783
Date 4/10/2023
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

4.2. Building Nios® V Processor Project

You can browse the project files for Nios® V processor project in RiscFree* IDE Project Explorer tab. To build the project, follow these steps:

  1. Right-click the project folder and click Build Project.
  2. When the build is complete, you can find the console prints as shown in the following diagram.
    Figure 4. Console Prints