F-Tile Dynamic Reconfiguration Design Example User Guide

ID 710582
Date 12/19/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

1.3. Directory Structure

The F-Tile Dynamic Reconfiguration design example generates the following files:
Table 6.  Testbench File Descriptions for Design Example
File Names Description
Key Testbench and Simulation Files for CPRI Multirate Designs
<design_example_dir>/example_testbench/basic_avl_tb_top.sv Top-level testbench file. The testbench instantiates the DUT wrapper and runs Verilog HDL tasks to generate and accept packets.
<design_example_dir>/example_testbench/cpriphy_dr_ed_dut_wrapper.sv DUT wrapper that instantiates DUT and other testbench components.
<design_example_dir>/example_testbench/ cpriphy_dr_ed_hw.sv Top hardware design file. This file instantiates the F-Tile Dynamic Reconfiguration Suite Intel FPGA IP, F-Tile Reference and System PLL Clocks Intel FPGA IP, and DUT wrapper.
Key Testbench and Simulation Files for Ethernet Multirate Designs
<design_example_dir>/example_testbench/basic_avl_tb_top.sv Top-level testbench file. The testbench instantiates the DUT wrapper and runs Verilog HDL tasks to generate and accept packets.
<design_example_dir>/example_testbench/ eth_f_hw.sv DUT wrapper that instantiates DUT and other testbench components.
Key Testbench and Simulation Files for PMA/FEC Direct PHY Multirate Designs
<design_example_dir>/example_testbench/top_tst.sv Top-level testbench file. The testbench instantiates the DUT wrapper and runs Verilog HDL tasks to generate and receive PRBS data stream.
<design_example_dir>/example_testbench/dphy_f_hw.sv DUT wrapper that instantiates PMA/FEC Direct PHY Multirate DUT and other testbench components.
Key Testbench and Simulation Files for Ethernet to CPRI Multirate Designs
<design_example_dir>/example_testbench/basic_avl_tb_top.sv Top-level testbench file. The testbench instantiates the DUT wrapper and runs Verilog HDL tasks to generate and accept packets.
<design_example_dir>/example_testbench/eth_cpriphy_f_hw.sv Top wrapper that instantiates Ethernet and CPRI PHY Multirate DUT and other testbench components.
Testbench Scripts for CPRI, Ethernet, PMA/FEC Direct PHY, and Ethernet to CPRI Multirate Designs
<design_example_dir>/example_testbench/run_vsim.do The QuestaSim* script to run the testbench.
<design_example_dir>/example_testbench/run_vcs.sh The VCS* script to run the testbench.
<design_example_dir>/example_testbench/run_vcsmx.sh The VCS* MX script to run the testbench.
<design_example_dir>/example_testbench/ run_xcelium.sh The Xcelium* script to run the testbench.
Table 7.  Hardware Design Example File Descriptions
File Names Description
For CPRI Multirate Designs
<design_example_dir>/hardware_test_design/cpriphy_dr_ed_hw.qpf Intel® Quartus® Prime project file.
<design_example_dir>/hardware_test_design/cpriphy_dr_ed_hw.qsf Intel® Quartus® Prime project settings file.
<design_example_dir>/hardware_test_design/cpriphy_dr_ed_hw.sv Top hardware design file. This file instantiates the F-Tile Dynamic Reconfiguration Suite Intel FPGA IP, F-Tile Reference and System PLL Clocks Intel FPGA IP, and DUT wrapper.
<design_example_dir>/hardware_test_design/cpriphy_dr_ed_dut_wrapper.sv DUT wrapper that instantiates DUT and packet client testbench components.
<design_example_dir>/hardware_test_design/cpriphy_dr_ed_hw.sdc Synopsys Design Constraints files. You can copy and modify this file for your own Intel® Agilex™ device.
<design_example_dir>/hardware_test_design/hwtest/main_script.tcl Main file for accessing System Console.
<design_example_dir>/hardware_test_design/hwtest/src/parameter.tcl Stores the configurable variables of the test script. JTAG ID, desired dynamic reconfiguration sequences of the test can be modified through the variables in this file.
For Ethernet Multirate Designs
<design_example_dir>/hardware_test_design/eth_f_hw.v Top hardware design file. This file instantiates the F-Tile Dynamic Reconfiguration Suite Intel FPGA IP, F-Tile Reference and System PLL Clocks Intel FPGA IP, and DUT wrapper.
<design_example_dir>/hardware_test_design/eth_f_hw_ip_top.sv DUT wrapper that instantiates DUT and packet client testbench components.

For example, ex_25G_mr, ex_100G_mr, or ex_400G_mr.

<design_example_dir>/hardware_test_design/eth_f_hw.sdc Synopsys Design Constraints files. You can copy and modify this file for your own Intel® Agilex™ device.
<design_example_dir>/hardware_test_design/hwtest/main_script.tcl Main file for accessing System Console.
<design_example_dir>/hardware_test_design/hwtest/src/parameter.tcl Stores the configurable variables of the test script. JTAG ID, desired dynamic reconfiguration sequences of the test can be modified through the variables in this file.
For PMA/FEC Direct PHY Multirate Designs
<design_example_dir>/hardware_test_design/dphy_f_hw.qpf Intel Quartus Prime project file.
<design_example_dir>/hardware_test_design/dphy_f_hw.qsf Intel Quartus Prime project settings file.
<design_example_dir>/hardware_test_design/dphy_f_hw.sv Top hardware design file. This file instantiates the F-Tile Dynamic Reconfiguration Suite Intel FPGA IP, F-Tile Reference and System PLL Clocks Intel FPGA IP, DUT and Testwrap components.
<design_example_dir>/hardware_test_design/dphy_f_hw.sdc Synopsys Design Constraints files. You can copy and modify this file for your own Intel® Agilex™ device.
<design_example_dir>/hardware_test_design/hwtest/main_script.tcl Main file for accessing System Console.
<design_example_dir>/hardware_test_design/hwtest/src/parameter.tcl Stores the configurable variables of the test script. JTAG ID, desired dynamic reconfiguration sequences of the test can be modified through the variables in this file.
For Ethernet to CPRI Multirate Designs
<design_example_dir>/hardware_test_design/eth_cpriphy_f_hw.qpf Intel Quartus Prime project file.
<design_example_dir>/hardware_test_design/eth_cpriphy_f_hw.qsf Intel Quartus Prime project settings file.
<design_example_dir>/hardware_test_design/eth_cpriphy_f_hw.sv Top hardware design file. This file instantiates the F-Tile Dynamic Reconfiguration Suite Intel FPGA IP, F-Tile Reference and System PLL Clocks Intel FPGA IP, and DUT wrapper.
<design_example_dir>/hardware_test_design/cpriphy_f_dr_hw.sv DUT wrapper that instantiates F-tile CPRI PHY Multirate Intel FPGA IP
<design_example_dir>/hardware_test_design/eth_f_dr_hw.v DUT wrapper that instantiates F-tile Ethernet Multirate Intel FPGA IP
<design_example_dir>/hardware_test_design/eth_cpriphy_f_hw.sdc Synopsys Design Constraints file. You can copy and modify this file for your own Intel Agilex device.
<design_example_dir>/hardware_test_design/hwtest/main_script.tcl Main file for accessing System Console.
<design_example_dir>/hardware_test_design/hwtest/src/parameter.tcl Stores the configurable variables of the test script. JTAG ID, desired dynamic reconfiguration sequences of the test can be modified through the variables in this file.