AN 964: Signal Tap Tutorial for Intel Agilex® 7 Partial Reconfiguration Designs

ID 710463
Date 10/02/2023
Public
Document Table of Contents

2.1. Step 1: Getting Started

Copy the reference design files to your working environment and compile the initial design for this tutorial:

  1. Before you begin, download the tutorial files.
  2. In your working environment, create a directory named agilex7_pcie_devkit_blinking_led_stp.
  3. Copy one of the following downloaded subfolders for your device to your working directory:
    • Intel Agilex® 7 F-Series: tutorials/agilex7f_pcie_devkit_blinking_led_stp/start
    • Intel Agilex® 7 M-Series: tutorials/agilex7m_pcie_devkit_blinking_led_stp/start
  4. In the Intel® Quartus® Prime Pro Edition software, click File > Open Project and select blinking_led.qpf.
  5. Click Project > Revisions and set blinking_led as the current revision.
  6. Click Processing > Start Compilation.
  7. Repeat the following steps to complete Analysis and Synthesis for the blinking_led_slow, blinking_led_default, and blinking_led_empty revisions:
    1. Change the current revision by clicking Project > Revisions and selecting a revision to set as the current revision.
    2. Click Processing > Start > Start Analysis and Synthesis.