Quartus® Prime Pro Edition User Guide: Third-party Simulation

ID 683870
Date 4/01/2024
Public
Document Table of Contents

1.9.1.3. Simulation Flow Settings (EDA Tool Settings Page)

The simulation flow settings allow you to specify additional options for the automated simulation flow. Click Assignments > Settings > EDA Tool Settings > Simulation > Simulation Flow Settings to specify any of the following additional options.
Table 10.  Simulation Flow Settings (EDA Tool Settings Page) Settings Dialog Box
Name Setting Description
Additional custom simulation elaboration options Allows you to specify additional custom simulation elaboration options for one or more simulators. For example:

questa=-suppress 2732 -suppress 14408 -suppress 16154

vcs=+define+IP7521SERDES_ UX_SIMSPEED

Clean the previous simulation compile directory if exists

Off

On (Default)

Allows you to clean (On) or retain (Off) the simulation directory created by the previous simulation run.
Generate third-party EDA tool command scripts

Off

On (Default)

Allows you to generate only the command scripts for the third-party EDA tool without launching the simulator itself.

Select Off to launch the simulator using the Run Simulation feature.

Launch third-party EDA-tool in command-line mode

Off (Default)

On

Allows you to launch a third-party EDA tool in command-line mode (On) rather than opening the GUI (Off).