Quartus® Prime Pro Edition User Guide: Third-party Simulation

ID 683870
Date 4/01/2024
Public
Document Table of Contents

1.9.2.2. Optional Simulation Settings for Run Simulation (Batch Mode)

There are both required and optional setting for use of Run Simulation in batch mode.

The following examples show how to specify optional simulation settings for use of Run Simulation in batch mode:

Optional Setting To Run Simulation for Specific Time Interval

set_global_assignment -name EDA_TEST_BENCH_RUN_SIM_FOR “1 ns” \
-section_id testbenchSet

Optional Setting for Custom Wave File

set_global_assignment -name EDA_SIMULATION_WAVE_FILE_QUESTA_INTEL wave.do \
-section_id testbenchSet

Optional Setting for Elaboration Options

For Questa* Intel® FPGA Edition or QuestaSim*:

set_global_assignment -name EDA_EXTRA_ELAB_OPTION              
"questa=-suppress 2732 -suppress 14408 -suppress 16154" -section_id 
eda_simulation

For VCS* (Linux-only):

set_global_assignment -name EDA_EXTRA_ELAB_OPTION 
"vcs=+define+IP7521SERDES_UX_SIMSPEED\ " -section_id eda_simulation

For VCS* MX (Linux-only):

set_global_assignment -name EDA_EXTRA_ELAB_OPTION 
"vcsmx=+define+IP7521SERDES_UX_SIMSPEED\ " -section_id eda_simulation

For Active-HDL* (Windows* only):

set_global_assignment -name EDA_EXTRA_ELAB_OPTION 
"activehdl=<third-party elab options>" -section_id eda_simulation

For Riviera-PRO*:

set_global_assignment -name EDA_EXTRA_ELAB_OPTION 
"rivierapro=<third-party elab option(s)>" -section_id eda_simulation

For Xcelium* (Linux-only):

set_global_assignment -name EDA_EXTRA_ELAB_OPTION    
"xcelium=<third-party elab option(s)>" -section_id eda_simulation

Simulator GUI or Batch Mode Operation Optional Setting

The default mode of this option is GUI mode. For simulator batch mode:

set_global_assignment -name EDA_LAUNCH_CMD_LINE_TOOL ON 
-section_id eda_simulation