eCPRI Intel® FPGA IP Design Example User Guide

ID 683837
Date 11/15/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

1.4. Simulating the Design Example Testbench

Procedure

Follow these steps to simulate the testbench:

  1. At the command prompt, change to the testbench simulation directory <design_example_dir>/simulation/setup_scripts.
  2. Run the simulation script for the supported simulator of your choice. The script compiles and runs the testbench in the simulator. Refer to the table Steps to Simulate the Testbench.
    Note: The VHDL language support for simulation is only available with QuestaSim* and VCS* MX simulators. The Verilog language support for simulation is available for all simulators listed in Table: Steps to Simulate the Testbench.
  3. Analyze the results. The successful testbench sends and receives packets, and displays "PASSED".
    Table 3.  Steps to Simulate the Testbench
    Simulator Instructions
    QuestaSim* In the command line, type vsim -do run_vsim.do

    If you prefer to simulate without bringing up the QuestaSim* GUI, type vsim -c -do run_vsim.do

    VCS*
    For non F-tile device variations:
    • In the command line, type sh run_vcs.sh
    For Intel® Agilex™ F-tile device variations, follow these steps:
    1. Navigate to the <design_example_dir>/simulation/quartus directory and run these two commands below:
      quartus_ipgenerate --run_default_mode_op ecpri_ed -c ecpri_ed
      quartus_tlg ecpri_ed 
      Alternately, you may open the ecpri_ed.qpf project in Intel® Quartus® Prime Pro Edition and perform the compilation until Support Logic Generation stage.
    2. Navigate to the <design_example_dir>/simulation/setup_scripts directory.
    3. Run the following command:
      ip-setup-simulation -–quartus-project=../quartus/ecpri_ed.qpf
    4. Navigate to the <design_example_dir>/simulation/setup_scripts/synopsys/vcs and run the following command:
      sh run_vcs.sh
    VCS* MX In the command line, type sh run_vcsmx.sh
    Riviera-PRO* In the command line, type vsim -c -do run_rivierapro.tcl
    Note: Only supported in Intel® Stratix® 10 H-tile design variations.
    Xcelium* 1 In the command line, type sh run_xcelium.sh

    Sample Output: The following sample output illustrates a successful simulation test run of the eCPRI IP design example without IWF feature enabled with Number of Channels = 4:

    # Waiting for RX alignment
    # RX deskew locked
    # RX lane aligmnent locked
    # Waiting for link fault clear
    # Link fault clear
    # MAC Source Address 0_0 Channel 0: 33445566
    # MAC Source Address 0_1 Channel 0: 00007788
    # MAC Destination Address 0_0 Channel 0: 33445566
    # MAC Destination Address 0_1 Channel 0: 00007788
    # MAC Destination Address 1_0 Channel 0: 11223344
    # MAC Destination Address 1_1 Channel 0: 00005566
    # MAC Destination Address 2_0 Channel 0: 22334455
    # MAC Destination Address 2_1 Channel 0: 00006677
    # MAC Destination Address 3_0 Channel 0: 44556677
    # MAC Destination Address 3_1 Channel 0: 00008899
    # MAC Destination Address 4_0 Channel 0: 66778899
    # MAC Destination Address 4_1 Channel 0: 0000aabb
    # MAC Destination Address 5_0 Channel 0: 778899aa
    # MAC Destination Address 5_1 Channel 0: 0000bbcc
    # MAC Destination Address 6_0 Channel 0: 8899aabb
    # MAC Destination Address 6_1 Channel 0: 0000ccdd
    # MAC Destination Address 7_0 Channel 0: 99aabbcc
    # MAC Destination Address 7_1 Channel 0: 0000ddee
    # eCPRI Common Control Channel 0: 00000041
    # Enable interrupt eCPRI Common Control Channel 0: 00000241
    # eCPRI version Channel 0: 2
    # MAC Source Address 0_0 Channel 1: 33445566
    # MAC Source Address 0_1 Channel 1: 00007788
    # MAC Destination Address 0_0 Channel 1: 33445566
    # MAC Destination Address 0_1 Channel 1: 00007788
    # MAC Destination Address 1_0 Channel 1: 11223344
    # MAC Destination Address 1_1 Channel 1: 00005566
    # MAC Destination Address 2_0 Channel 1: 22334455
    # MAC Destination Address 2_1 Channel 1: 00006677
    # MAC Destination Address 3_0 Channel 1: 44556677
    # MAC Destination Address 3_1 Channel 1: 00008899
    # MAC Destination Address 4_0 Channel 1: 66778899
    # MAC Destination Address 4_1 Channel 1: 0000aabb
    # MAC Destination Address 5_0 Channel 1: 778899aa
    # MAC Destination Address 5_1 Channel 1: 0000bbcc
    # MAC Destination Address 6_0 Channel 1: 8899aabb
    # MAC Destination Address 6_1 Channel 1: 0000ccdd
    # MAC Destination Address 7_0 Channel 1: 99aabbcc
    # MAC Destination Address 7_1 Channel 1: 0000ddee
    # eCPRI Common Control Channel 1: 00000041
    # Enable interrupt eCPRI Common Control Channel 1: 00000241
    # eCPRI version Channel 1: 2
    # MAC Source Address 0_0 Channel 2: 33445566
    # MAC Source Address 0_1 Channel 2: 00007788
    # MAC Destination Address 0_0 Channel 2: 33445566
    # MAC Destination Address 0_1 Channel 2: 00007788
    # MAC Destination Address 1_0 Channel 2: 11223344
    # MAC Destination Address 1_1 Channel 2: 00005566
    # MAC Destination Address 2_0 Channel 2: 22334455
    # MAC Destination Address 2_1 Channel 2: 00006677
    # MAC Destination Address 3_0 Channel 2: 44556677
    # MAC Destination Address 3_1 Channel 2: 00008899
    # MAC Destination Address 4_0 Channel 2: 66778899
    # MAC Destination Address 4_1 Channel 2: 0000aabb
    # MAC Destination Address 5_0 Channel 2: 778899aa
    # MAC Destination Address 5_1 Channel 2: 0000bbcc
    # MAC Destination Address 6_0 Channel 2: 8899aabb
    # MAC Destination Address 6_1 Channel 2: 0000ccdd
    # MAC Destination Address 7_0 Channel 2: 99aabbcc
    # MAC Destination Address 7_1 Channel 2: 0000ddee
    # eCPRI Common Control Channel 2: 00000041
    # Enable interrupt eCPRI Common Control Channel 2: 00000241
    # eCPRI version Channel 2: 2
    # MAC Source Address 0_0 Channel 3: 33445566
    # MAC Source Address 0_1 Channel 3: 00007788
    # MAC Destination Address 0_0 Channel 3: 33445566
    # MAC Destination Address 0_1 Channel 3: 00007788
    # MAC Destination Address 1_0 Channel 3: 11223344
    # MAC Destination Address 1_1 Channel 3: 00005566
    # MAC Destination Address 2_0 Channel 3: 22334455
    # MAC Destination Address 2_1 Channel 3: 00006677
    # MAC Destination Address 3_0 Channel 3: 44556677
    # MAC Destination Address 3_1 Channel 3: 00008899
    # MAC Destination Address 4_0 Channel 3: 66778899
    # MAC Destination Address 4_1 Channel 3: 0000aabb
    # MAC Destination Address 5_0 Channel 3: 778899aa
    # MAC Destination Address 5_1 Channel 3: 0000bbcc
    # MAC Destination Address 6_0 Channel 3: 8899aabb
    # MAC Destination Address 6_1 Channel 3: 0000ccdd
    # MAC Destination Address 7_0 Channel 3: 99aabbcc
    # MAC Destination Address 7_1 Channel 3: 0000ddee
    # eCPRI Common Control Channel 3: 00000041
    # Enable interrupt eCPRI Common Control Channel 3: 00000241
    # eCPRI version Channel 3: 2
    # __________________________________________________________
    # INFO: Out of reset status
    # __________________________________________________________
    #
    #
    # Channel 0 eCPRI TX SOPs count : 0
    # Channel 0 eCPRI TX EOPs count : 0
    # Channel 0 eCPRI RX SOPs count : 0
    # Channel 0 eCPRI RX EOPs count : 0
    # Channel 0 External PTP TX SOPs count : 0
    # Channel 0 External PTP TX EOPs count : 0
    # Channel 0 External MISC TX SOPs count : 0
    # Channel 0 External MISC TX EOPs count : 0
    # Channel 0 External RX SOPs count : 0
    # Channel 0 External RX EOPs count : 0
    # Channel 1 eCPRI TX SOPs count : 0
    # Channel 1 eCPRI TX EOPs count : 0
    # Channel 1 eCPRI RX SOPs count : 0
    # Channel 1 eCPRI RX EOPs count : 0
    # Channel 1 External PTP TX SOPs count : 0
    # Channel 1 External PTP TX EOPs count : 0
    # Channel 1 External MISC TX SOPs count : 0
    # Channel 1 External MISC TX EOPs count : 0
    # Channel 1 External RX SOPs count : 0
    # Channel 1 External RX EOPs count : 0
    # Channel 2 eCPRI TX SOPs count : 0
    # Channel 2 eCPRI TX EOPs count : 0
    # Channel 2 eCPRI RX SOPs count : 0
    # Channel 2 eCPRI RX EOPs count : 0
    # Channel 2 External PTP TX SOPs count : 0
    # Channel 2 External PTP TX EOPs count : 0
    # Channel 2 External MISC TX SOPs count : 0
    # Channel 2 External MISC TX EOPs count : 0
    # Channel 2 External RX SOPs count : 0
    # Channel 2 External RX EOPs count : 0
    # Channel 3 eCPRI TX SOPs count : 0
    # Channel 3 eCPRI TX EOPs count : 0
    # Channel 3 eCPRI RX SOPs count : 0
    # Channel 3 eCPRI RX EOPs count : 0
    # Channel 3 External PTP TX SOPs count : 0
    # Channel 3 External PTP TX EOPs count : 0
    # Channel 3 External MISC TX SOPs count : 0
    # Channel 3 External MISC TX EOPs count : 0
    # Channel 3 External RX SOPs count : 0
    # Channel 3 External RX EOPs count : 0
    # __________________________________________________________
    # INFO: Start transmitting packets
    # __________________________________________________________
    #
    #
    # INFO: Waiting for the Channel 0 eCPRI TX traffic transfer to complete
    # INFO: Channel 0 eCPRI TX traffic transfer completed
    # INFO: Waiting for the Channel 0 eCPRI External TX PTP traffic transfer to complete
    # INFO: Channel 0 eCPRI External TX PTP traffic transfer completed
    # INFO: Waiting for the Channel 0 eCPRI External TX Misc traffic transfer to complete
    # INFO: Channel 0 eCPRI External TX Misc traffic transfer completed
    # INFO: Waiting for the Channel 1 eCPRI TX traffic transfer to complete
    # INFO: Channel 1 eCPRI TX traffic transfer completed
    # INFO: Waiting for the Channel 1 eCPRI External TX PTP traffic transfer to complete
    # INFO: Channel 1 eCPRI External TX PTP traffic transfer completed
    # INFO: Waiting for the Channel 1 eCPRI External TX Misc traffic transfer to complete
    # INFO: Channel 1 eCPRI External TX Misc traffic transfer completed
    # INFO: Waiting for the Channel 2 eCPRI TX traffic transfer to complete
    # INFO: Channel 2 eCPRI TX traffic transfer completed
    # INFO: Waiting for the Channel 2 eCPRI External TX PTP traffic transfer to complete
    # INFO: Channel 2 eCPRI External TX PTP traffic transfer completed
    # INFO: Waiting for the Channel 2 eCPRI External TX Misc traffic transfer to complete
    # INFO: Channel 2 eCPRI External TX Misc traffic transfer completed
    # INFO: Waiting for the Channel 3 eCPRI TX traffic transfer to complete
    # INFO: Channel 3 eCPRI TX traffic transfer completed
    # INFO: Waiting for the Channel 3 eCPRI External TX PTP traffic transfer to complete
    # INFO: Channel 3 eCPRI External TX PTP traffic transfer completed
    # INFO: Waiting for the Channel 3 eCPRI External TX Misc traffic transfer to complete
    # INFO: Channel 3 eCPRI External TX Misc traffic transfer completed
    # __________________________________________________________
    # INFO: Stop transmitting packets
    # __________________________________________________________
    #
    #
    # __________________________________________________________
    # INFO: Checking packets statistics
    # __________________________________________________________
    #
    #
    # Channel 0 eCPRI SOPs transmitted: 300
    # Channel 0 eCPRI EOPs transmitted: 300
    # Channel 0 eCPRI SOPs received: 300
    # Channel 0 eCPRI EOPs received: 300
    # Channel 0 eCPRI Error reported: 0
    # Channel 0 External PTP SOPs transmitted: 4
    # Channel 0 External PTP EOPs transmitted: 4
    # Channel 0 External MISC SOPs transmitted: 128
    # Channel 0 External MISC EOPs transmitted: 128
    # Channel 0 External SOPs received: 132
    # Channel 0 External EOPs received: 132
    # Channel 0 External PTP SOPs received: 4
    # Channel 0 External PTP EOPs received: 4
    # Channel 0 External MISC SOPs received: 128
    # Channel 0 External MISC EOPs received: 128
    # Channel 0 External Error reported: 0
    # Channel 0 External Timestamp Fingerprint Error reported: 0
    # Channel 1 eCPRI SOPs transmitted: 300
    # Channel 1 eCPRI EOPs transmitted: 300
    # Channel 1 eCPRI SOPs received: 300
    # Channel 1 eCPRI EOPs received: 300
    # Channel 1 eCPRI Error reported: 0
    # Channel 1 External PTP SOPs transmitted: 4
    # Channel 1 External PTP EOPs transmitted: 4
    # Channel 1 External MISC SOPs transmitted: 128
    # Channel 1 External MISC EOPs transmitted: 128
    # Channel 1 External SOPs received: 132
    # Channel 1 External EOPs received: 132
    # Channel 1 External PTP SOPs received: 4
    # Channel 1 External PTP EOPs received: 4
    # Channel 1 External MISC SOPs received: 128
    # Channel 1 External MISC EOPs received: 128
    # Channel 1 External Error reported: 0
    # Channel 1 External Timestamp Fingerprint Error reported: 0
    # Channel 2 eCPRI SOPs transmitted: 300
    # Channel 2 eCPRI EOPs transmitted: 300
    # Channel 2 eCPRI SOPs received: 300
    # Channel 2 eCPRI EOPs received: 300
    # Channel 2 eCPRI Error reported: 0
    # Channel 2 External PTP SOPs transmitted: 4
    # Channel 2 External PTP EOPs transmitted: 4
    # Channel 2 External MISC SOPs transmitted: 128
    # Channel 2 External MISC EOPs transmitted: 128
    # Channel 2 External SOPs received: 132
    # Channel 2 External EOPs received: 132
    # Channel 2 External PTP SOPs received: 4
    # Channel 2 External PTP EOPs received: 4
    # Channel 2 External MISC SOPs received: 128
    # Channel 2 External MISC EOPs received: 128
    # Channel 2 External Error reported: 0
    # Channel 2 External Timestamp Fingerprint Error reported: 0
    # Channel 3 eCPRI SOPs transmitted: 300
    # Channel 3 eCPRI EOPs transmitted: 300
    # Channel 3 eCPRI SOPs received: 300
    # Channel 3 eCPRI EOPs received: 300
    # Channel 3 eCPRI Error reported: 0
    # Channel 3 External PTP SOPs transmitted: 4
    # Channel 3 External PTP EOPs transmitted: 4
    # Channel 3 External MISC SOPs transmitted: 128
    # Channel 3 External MISC EOPs transmitted: 128
    # Channel 3 External SOPs received: 132
    # Channel 3 External EOPs received: 132
    # Channel 3 External PTP SOPs received: 4
    # Channel 3 External PTP EOPs received: 4
    # Channel 3 External MISC SOPs received: 128
    # Channel 3 External MISC EOPs received: 128
    # Channel 3 External Error reported: 0
    # Channel 3 External Timestamp Fingerprint Error reported: 0
    # __________________________________________________________
    # INFO: Test PASSED
    #
    # __________________________________________________________

    Sample Output: The following sample output illustrates a successful simulation test run of the eCPRI IP design example with IWF feature enabled with Number of Channels = 4:

    # Enable CPRI TX
    # CPRI Channel 0 L1_CONFIG : 00000001
    # CPRI Channel 0 CPRI_CORE_CM_CONFIG : 00001ed4
    # CPRI Channel 1 L1_CONFIG : 00000001
    # CPRI Channel 1 CPRI_CORE_CM_CONFIG : 00001ed4
    # CPRI Channel 2 L1_CONFIG : 00000001
    # CPRI Channel 2 CPRI_CORE_CM_CONFIG : 00001ed4
    # CPRI Channel 3 L1_CONFIG : 00000001
    # CPRI Channel 3 CPRI_CORE_CM_CONFIG : 00001ed4
    # Waiting for RX alignment
    # RX deskew locked
    # RX lane aligmnent locked
    # Waiting for link fault clear
    # Link fault clear
    # MAC Source Address 0_0 Channel 0: 33445566
    # MAC Source Address 0_1 Channel 0: 00007788
    # MAC Destination Address 0_0 Channel 0: 33445566
    # MAC Destination Address 0_1 Channel 0: 00007788
    # MAC Destination Address 1_0 Channel 0: 11223344
    # MAC Destination Address 1_1 Channel 0: 00005566
    # MAC Destination Address 2_0 Channel 0: 22334455
    # MAC Destination Address 2_1 Channel 0: 00006677
    # MAC Destination Address 3_0 Channel 0: 44556677
    # MAC Destination Address 3_1 Channel 0: 00008899
    # MAC Destination Address 4_0 Channel 0: 66778899
    # MAC Destination Address 4_1 Channel 0: 0000aabb
    # MAC Destination Address 5_0 Channel 0: 778899aa
    # MAC Destination Address 5_1 Channel 0: 0000bbcc
    # MAC Destination Address 6_0 Channel 0: 8899aabb
    # MAC Destination Address 6_1 Channel 0: 0000ccdd
    # MAC Destination Address 7_0 Channel 0: 99aabbcc
    # MAC Destination Address 7_1 Channel 0: 0000ddee
    # eCPRI Common Control Channel 0: 00000041
    # Enable interrupt eCPRI Common Control Channel 0: 00000241
    # eCPRI version Channel 0: 2
    # MAC Source Address 0_0 Channel 1: 33445566
    # MAC Source Address 0_1 Channel 1: 00007788
    # MAC Destination Address 0_0 Channel 1: 33445566
    # MAC Destination Address 0_1 Channel 1: 00007788
    # MAC Destination Address 1_0 Channel 1: 11223344
    # MAC Destination Address 1_1 Channel 1: 00005566
    # MAC Destination Address 2_0 Channel 1: 22334455
    # MAC Destination Address 2_1 Channel 1: 00006677
    # MAC Destination Address 3_0 Channel 1: 44556677
    # MAC Destination Address 3_1 Channel 1: 00008899
    # MAC Destination Address 4_0 Channel 1: 66778899
    # MAC Destination Address 4_1 Channel 1: 0000aabb
    # MAC Destination Address 5_0 Channel 1: 778899aa
    # MAC Destination Address 5_1 Channel 1: 0000bbcc
    # MAC Destination Address 6_0 Channel 1: 8899aabb
    # MAC Destination Address 6_1 Channel 1: 0000ccdd
    # MAC Destination Address 7_0 Channel 1: 99aabbcc
    # MAC Destination Address 7_1 Channel 1: 0000ddee
    # eCPRI Common Control Channel 1: 00000041
    # Enable interrupt eCPRI Common Control Channel 1: 00000241
    # eCPRI version Channel 1: 2
    # MAC Source Address 0_0 Channel 2: 33445566
    # MAC Source Address 0_1 Channel 2: 00007788
    # MAC Destination Address 0_0 Channel 2: 33445566
    # MAC Destination Address 0_1 Channel 2: 00007788
    # MAC Destination Address 1_0 Channel 2: 11223344
    # MAC Destination Address 1_1 Channel 2: 00005566
    # MAC Destination Address 2_0 Channel 2: 22334455
    # MAC Destination Address 2_1 Channel 2: 00006677
    # MAC Destination Address 3_0 Channel 2: 44556677
    # MAC Destination Address 3_1 Channel 2: 00008899
    # MAC Destination Address 4_0 Channel 2: 66778899
    # MAC Destination Address 4_1 Channel 2: 0000aabb
    # MAC Destination Address 5_0 Channel 2: 778899aa
    # MAC Destination Address 5_1 Channel 2: 0000bbcc
    # MAC Destination Address 6_0 Channel 2: 8899aabb
    # MAC Destination Address 6_1 Channel 2: 0000ccdd
    # MAC Destination Address 7_0 Channel 2: 99aabbcc
    # MAC Destination Address 7_1 Channel 2: 0000ddee
    # eCPRI Common Control Channel 2: 00000041
    # Enable interrupt eCPRI Common Control Channel 2: 00000241
    # eCPRI version Channel 2: 2
    # MAC Source Address 0_0 Channel 3: 33445566
    # MAC Source Address 0_1 Channel 3: 00007788
    # MAC Destination Address 0_0 Channel 3: 33445566
    # MAC Destination Address 0_1 Channel 3: 00007788
    # MAC Destination Address 1_0 Channel 3: 11223344
    # MAC Destination Address 1_1 Channel 3: 00005566
    # MAC Destination Address 2_0 Channel 3: 22334455
    # MAC Destination Address 2_1 Channel 3: 00006677
    # MAC Destination Address 3_0 Channel 3: 44556677
    # MAC Destination Address 3_1 Channel 3: 00008899
    # MAC Destination Address 4_0 Channel 3: 66778899
    # MAC Destination Address 4_1 Channel 3: 0000aabb
    # MAC Destination Address 5_0 Channel 3: 778899aa
    # MAC Destination Address 5_1 Channel 3: 0000bbcc
    # MAC Destination Address 6_0 Channel 3: 8899aabb
    # MAC Destination Address 6_1 Channel 3: 0000ccdd
    # MAC Destination Address 7_0 Channel 3: 99aabbcc
    # MAC Destination Address 7_1 Channel 3: 0000ddee
    # eCPRI Common Control Channel 3: 00000041
    # Enable interrupt eCPRI Common Control Channel 3: 00000241
    # eCPRI version Channel 3: 2
    # Waiting for CPRI achieve HSYNC link up state
    # CPRI Channel 0 HSYNC state achieved
    # CPRI Channel 1 HSYNC state achieved
    # CPRI Channel 2 HSYNC state achieved
    # CPRI Channel 3 HSYNC state achieved
    # 11100250000 Write 1 to nego_bitrate_complete
    # 11100650000 Polling PROT_VER Channel 0
    # __________________________________________________________
    # 11100850000 Polling register: a0000010
    # __________________________________________________________
    # 13105050000 Polling PROT_VER Channel 1
    # __________________________________________________________
    # 13105250000 Polling register: a0800010
    # __________________________________________________________
    # 13105950000 Polling PROT_VER Channel 2
    # __________________________________________________________
    # 13106150000 Polling register: a1000010
    # __________________________________________________________
    # 13106850000 Polling PROT_VER Channel 3
    # __________________________________________________________
    # 13107050000 Polling register: a1800010
    # __________________________________________________________
    # 13107750000 Write 1 to nego_protol_complete
    # 13108150000 Polling CM_STATUS.rx_fast_cm_ptr_valid Channel 0
    # __________________________________________________________
    # 13108350000 Polling register: a0000020
    # __________________________________________________________
    # 14272050000 Polling CM_STATUS.rx_fast_cm_ptr_valid Channel 1
    # __________________________________________________________
    # 14272250000 Polling register: a0800020
    # __________________________________________________________
    # 14272950000 Polling CM_STATUS.rx_fast_cm_ptr_valid Channel 2
    # __________________________________________________________
    # 14273150000 Polling register: a1000020
    # __________________________________________________________
    # 14273850000 Polling CM_STATUS.rx_fast_cm_ptr_valid Channel 3
    # __________________________________________________________
    # 14274050000 Polling register: a1800020
    # __________________________________________________________
    # 14274750000 Write 1 to nego_cm_complete
    # 14275150000 Write 1 to nego_vss_complete
    # Waiting for CPRI Channel 0 achieve HSYNC & startup sequence FSM STATE_F
    # CPRI Channel 0 HSYNC & startup sequence FSM STATE_F achieved
    # Waiting for CPRI Channel 1 achieve HSYNC & startup sequence FSM STATE_F
    # CPRI Channel 1 HSYNC & startup sequence FSM STATE_F achieved
    # Waiting for CPRI Channel 2 achieve HSYNC & startup sequence FSM STATE_F
    # CPRI Channel 2 HSYNC & startup sequence FSM STATE_F achieved
    # Waiting for CPRI Channel 3 achieve HSYNC & startup sequence FSM STATE_F
    # CPRI Channel 3 HSYNC & startup sequence FSM STATE_F achieved
    # __________________________________________________________
    # INFO: Out of reset status
    # __________________________________________________________
    #
    #
    # Channel 0 eCPRI TX SOPs count : 0
    # Channel 0 eCPRI TX EOPs count : 0
    # Channel 0 eCPRI RX SOPs count : 0
    # Channel 0 eCPRI RX EOPs count : 0
    # Channel 0 External PTP TX SOPs count : 0
    # Channel 0 External PTP TX EOPs count : 0
    # Channel 0 External MISC TX SOPs count : 0
    # Channel 0 External MISC TX EOPs count : 0
    # Channel 0 External RX SOPs count : 0
    # Channel 0 External RX EOPs count : 0
    # Channel 1 eCPRI TX SOPs count : 0
    # Channel 1 eCPRI TX EOPs count : 0
    # Channel 1 eCPRI RX SOPs count : 0
    # Channel 1 eCPRI RX EOPs count : 0
    # Channel 1 External PTP TX SOPs count : 0
    # Channel 1 External PTP TX EOPs count : 0
    # Channel 1 External MISC TX SOPs count : 0
    # Channel 1 External MISC TX EOPs count : 0
    # Channel 1 External RX SOPs count : 0
    # Channel 1 External RX EOPs count : 0
    # Channel 2 eCPRI TX SOPs count : 0
    # Channel 2 eCPRI TX EOPs count : 0
    # Channel 2 eCPRI RX SOPs count : 0
    # Channel 2 eCPRI RX EOPs count : 0
    # Channel 2 External PTP TX SOPs count : 0
    # Channel 2 External PTP TX EOPs count : 0
    # Channel 2 External MISC TX SOPs count : 0
    # Channel 2 External MISC TX EOPs count : 0
    # Channel 2 External RX SOPs count : 0
    # Channel 2 External RX EOPs count : 0
    # Channel 3 eCPRI TX SOPs count : 0
    # Channel 3 eCPRI TX EOPs count : 0
    # Channel 3 eCPRI RX SOPs count : 0
    # Channel 3 eCPRI RX EOPs count : 0
    # Channel 3 External PTP TX SOPs count : 0
    # Channel 3 External PTP TX EOPs count : 0
    # Channel 3 External MISC TX SOPs count : 0
    # Channel 3 External MISC TX EOPs count : 0
    # Channel 3 External RX SOPs count : 0
    # Channel 3 External RX EOPs count : 0
    # __________________________________________________________
    # INFO: Start transmitting packets
    # __________________________________________________________
    #
    #
    # INFO: Waiting for the Channel 0 eCPRI TX traffic transfer to complete
    # INFO: Channel 0 eCPRI TX traffic transfer completed
    # INFO: Waiting for the Channel 0 eCPRI External TX PTP traffic transfer to complete
    # INFO: Channel 0 eCPRI External TX PTP traffic transfer completed
    # INFO: Waiting for the Channel 0 eCPRI External TX Misc traffic transfer to complete
    # INFO: Channel 0 eCPRI External TX Misc traffic transfer completed
    # INFO: Waiting for the Channel 1 eCPRI TX traffic transfer to complete
    # INFO: Channel 1 eCPRI TX traffic transfer completed
    # INFO: Waiting for the Channel 1 eCPRI External TX PTP traffic transfer to complete
    # INFO: Channel 1 eCPRI External TX PTP traffic transfer completed
    # INFO: Waiting for the Channel 1 eCPRI External TX Misc traffic transfer to complete
    # INFO: Channel 1 eCPRI External TX Misc traffic transfer completed
    # INFO: Waiting for the Channel 2 eCPRI TX traffic transfer to complete
    # INFO: Channel 2 eCPRI TX traffic transfer completed
    # INFO: Waiting for the Channel 2 eCPRI External TX PTP traffic transfer to complete
    # INFO: Channel 2 eCPRI External TX PTP traffic transfer completed
    # INFO: Waiting for the Channel 2 eCPRI External TX Misc traffic transfer to complete
    # INFO: Channel 2 eCPRI External TX Misc traffic transfer completed
    # INFO: Waiting for the Channel 3 eCPRI TX traffic transfer to complete
    # INFO: Channel 3 eCPRI TX traffic transfer completed
    # INFO: Waiting for the Channel 3 eCPRI External TX PTP traffic transfer to complete
    # INFO: Channel 3 eCPRI External TX PTP traffic transfer completed
    # INFO: Waiting for the Channel 3 eCPRI External TX Misc traffic transfer to complete
    # INFO: Channel 3 eCPRI External TX Misc traffic transfer completed
    # __________________________________________________________
    # INFO: Stop transmitting packets
    # __________________________________________________________
    #
    #
    # __________________________________________________________
    # INFO: Checking packets statistics
    # __________________________________________________________
    #
    #
    # Channel 0 eCPRI SOPs transmitted: 50
    # Channel 0 eCPRI EOPs transmitted: 50
    # Channel 0 eCPRI SOPs received: 50
    # Channel 0 eCPRI EOPs received: 50
    # Channel 0 eCPRI Error reported: 0
    # Channel 0 External PTP SOPs transmitted: 4
    # Channel 0 External PTP EOPs transmitted: 4
    # Channel 0 External MISC SOPs transmitted: 128
    # Channel 0 External MISC EOPs transmitted: 128
    # Channel 0 External SOPs received: 132
    # Channel 0 External EOPs received: 132
    # Channel 0 External PTP SOPs received: 4
    # Channel 0 External PTP EOPs received: 4
    # Channel 0 External MISC SOPs received: 128
    # Channel 0 External MISC EOPs received: 128
    # Channel 0 External Error reported: 0
    # Channel 0 External Timestamp Fingerprint Error reported: 0
    # Channel 1 eCPRI SOPs transmitted: 50
    # Channel 1 eCPRI EOPs transmitted: 50
    # Channel 1 eCPRI SOPs received: 50
    # Channel 1 eCPRI EOPs received: 50
    # Channel 1 eCPRI Error reported: 0
    # Channel 1 External PTP SOPs transmitted: 4
    # Channel 1 External PTP EOPs transmitted: 4
    # Channel 1 External MISC SOPs transmitted: 128
    # Channel 1 External MISC EOPs transmitted: 128
    # Channel 1 External SOPs received: 132
    # Channel 1 External EOPs received: 132
    # Channel 1 External PTP SOPs received: 4
    # Channel 1 External PTP EOPs received: 4
    # Channel 1 External MISC SOPs received: 128
    # Channel 1 External MISC EOPs received: 128
    # Channel 1 External Error reported: 0
    # Channel 1 External Timestamp Fingerprint Error reported: 0
    # Channel 2 eCPRI SOPs transmitted: 50
    # Channel 2 eCPRI EOPs transmitted: 50
    # Channel 2 eCPRI SOPs received: 50
    # Channel 2 eCPRI EOPs received: 50
    # Channel 2 eCPRI Error reported: 0
    # Channel 2 External PTP SOPs transmitted: 4
    # Channel 2 External PTP EOPs transmitted: 4
    # Channel 2 External MISC SOPs transmitted: 128
    # Channel 2 External MISC EOPs transmitted: 128
    # Channel 2 External SOPs received: 132
    # Channel 2 External EOPs received: 132
    # Channel 2 External PTP SOPs received: 4
    # Channel 2 External PTP EOPs received: 4
    # Channel 2 External MISC SOPs received: 128
    # Channel 2 External MISC EOPs received: 128
    # Channel 2 External Error reported: 0
    # Channel 2 External Timestamp Fingerprint Error reported: 0
    # Channel 3 eCPRI SOPs transmitted: 50
    # Channel 3 eCPRI EOPs transmitted: 50
    # Channel 3 eCPRI SOPs received: 50
    # Channel 3 eCPRI EOPs received: 50
    # Channel 3 eCPRI Error reported: 0
    # Channel 3 External PTP SOPs transmitted: 4
    # Channel 3 External PTP EOPs transmitted: 4
    # Channel 3 External MISC SOPs transmitted: 128
    # Channel 3 External MISC EOPs transmitted: 128
    # Channel 3 External SOPs received: 132
    # Channel 3 External EOPs received: 132
    # Channel 3 External PTP SOPs received: 4
    # Channel 3 External PTP EOPs received: 4
    # Channel 3 External MISC SOPs received: 128
    # Channel 3 External MISC EOPs received: 128
    # Channel 3 External Error reported: 0
    # Channel 3 External Timestamp Fingerprint Error reported: 0
    # __________________________________________________________
    # INFO: Test PASSED
    #
    # __________________________________________________________
1 This simulator is not supported for eCPRI Intel FPGA IP design example generated with IWF feature enabled.