Intel® Stratix® 10 Avalon® -MM Hard IP for PCIe* Design Example User Guide

ID 683616
Date 11/06/2017
Public

A newer version of this document is available. Customers should click here to go to the newest version.

1.4. Simulating the Design Example

Figure 5. Procedure
  1. Change to the testbench simulation directory, pcie_example_design_tb.
  2. Run the simulation script for the simulator of your choice. Refer to the table below.
  3. Analyze the results.
Table 1.  Steps to Run Simulation
Simulator Working Directory Instructions
ModelSim* <example_design>/pcie_example_design_tb/pcie_example_design_tb/sim/mentor/
  1. do msim_setup.tcl
  2. ld_debug
  3. run -all
  4. A successful simulation ends with the following message, "Simulation stopped due to successful completion!"
VCS* <example_design>/pcie_example_design_tb/pcie_example_design_tb/sim/synopsys/vcs
  1. sh vcs_setup.sh USER_DEFINED_SIM_OPTIONS=""
  2. A successful simulation ends with the following message, "Simulation stopped due to successful completion!"
NCSim* <example_design>/pcie_example_design_tb/pcie_example_design_tb/sim/cadence
  1. sh ncsim_setup.sh USER_DEFINED_SIM_OPTIONS="" USER_DEFINED_ELAB_OPTIONS ="-timescale\ 1ns/1ps"
  2. A successful simulation ends with the following message, "Simulation stopped due to successful completion!"
The simple DMA testbench completes the following tasks:
  1. Writes to the Endpoint memory using the DUT Endpoint Avalon® -MM RX master interface.
  2. Reads from Endpoint memory using the DUT Endpoint Avalon® -MM RX master interface.
  3. Verifies the data using the shmem_chk_ok task.
  4. Writes to the Endpoint DMA controller, instructing the DMA controller to perform a MemRd request to the PCIe* address space in host memory.
  5. Writes to the Endpoint DMA controller, instructing the DMA controller to perform a MemWr request to PCIe* address space in host memory. This MemWr uses the data from the previous MemRd.
  6. Verifies the data using the shmem_chk_ok task.

The simulation reports, "Simulation stopped due to successful completion" if no errors occur.

Figure 6. Partial Transcript from Successful Simulation Testbench