R-Tile Avalon® Streaming Intel® FPGA IP for PCI Express* Design Example User Guide

ID 683544
Date 12/19/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

2.3.1.4. Xcelium* Simulator

Note: Xcelium* simulator support is only available in devices with the suffix R2 or R3 in their OPN numbers. For more details on OPN decoding, refer to the Intel® Agilex™ FPGAs and SoCs Device Overview.
Perform the following steps to execute the simulation via a command line:
  1. Export the following environment variables:
    1. export CADENCE_ENABLE_AVSREQ_6614_PHASE_1=1
    2. export CADENCE_ENABLE_AVSREQ_12055_PHASE_1=1
  2. Change to the simulation working directory: cd <my_design>/pcie_ed_tb/pcie_ed_tb/sim/xcelium
  3. Execute the following command: sh xcelium_setup.sh USER_DEFINED_VERILOG_COMPILE_OPTIONS="-sv\ " USER_DEFINED_ELAB_OPTIONS="-timescale\ 1ns/1ps" USER_DEFINED_SIM_OPTIONS="-input\ @run" TOP_LEVEL_NAME="pcie_ed_tb.pcie_ed_tb" | tee simulation.log
    Note: The command above is a single-line command.

A successful simulation includes the following message: "Simulation stopped due to successful completion!"

Figure 18. Successful Simulation Message