AN 720: Simulating the ASMI Block in Your Design

ID 683464
Date 7/29/2020
Public

1.3.1. Example: Simulating the ASMI Block in a Stratix® V Device Using EPCQ Flash

To simulate the ASMI block in a Stratix® V device using the EPCQ flash, (for example, EPCQ1024), follow these steps:

  1. Create a design which instantiates the ASMI block, and set the enable_sim parameter to true.
    Figure 3. Sample Code to Instantiate the ASMI Block Using EPCQ Flash
  2. Compile the design in the Intel® Quartus® Prime software and ensure that the design does not contain any syntax error.
  3. In a simulation project, compile the following file to your working folder:
    • quartus/eda/sim_lib/stratixv_atoms.v or quartus/eda/sim_lib/stratixv_atoms.vhd (if you are not using the ModelSim* - Intel® FPGA Edition software)
      Note: The ModelSim* - Intel® FPGA Edition software contains all device atom libraries, so no compilation is needed.
  4. Run simulation. The FPGA design is connected to the flash simulation model via the ASMI interface.