AN 720: Simulating the ASMI Block in Your Design

ID 683464
Date 7/29/2020
Public

1.4. Document Revision History for AN 720: Simulating the ASMI Block in Your Design

Document Version Changes
2020.07.29
  • Added a new topic, Example: Simulating the ASMI Block in a Stratix® V Device Using Third-Party Flash Devices, to Simulating the ASMI Block in Your Design.
  • Updated Example: Simulating the ASMI Block in a Stratix® V Device Using EPCQ Flash.
  • Updated for latest Intel® branding standards.
  • Made editorial updates through out the document.
Table 2.  Document Revision History
Date Version Changes
August 2015 2015.08.03
  • Added example of VHDL WYSIWYG for Arria® V, Arria® V GZ, Cyclone® V, Stratix® V, and Intel® Arria® 10 ASMI Block.
  • Added working folder path for VHDL.
  • Removed statement stating compiling and simulating the active serial memory interface (ASMI) block is available from Quartus II version 14.0 onwards.
December 2014 2014.12.15 Initial release.