Intel® Quartus® Prime Pro Edition User Guide: Scripting

ID 683432
Date 4/03/2023
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

4.1.5. ::quartus::dcmd_dni

The following table displays information for the ::quartus::dcmd_dni Tcl package:

Tcl Package and Version ::quartus::dcmd_dni 1.0
Description
This package contains no general description.
Availability
This package is available for loading in the following executables:

    quartus
    quartus_sh
    quartus_sta
    quartus_syn
Tcl Commands
dni::add_to_collection (::quartus::dcmd_dni)
dni::all_inputs (::quartus::dcmd_dni)
dni::all_outputs (::quartus::dcmd_dni)
dni::append_to_collection (::quartus::dcmd_dni)
dni::apply_constraints (::quartus::dcmd_dni)
dni::color (::quartus::dcmd_dni)
dni::copy_collection (::quartus::dcmd_dni)
dni::create_clock (::quartus::dcmd_dni)
dni::current_design (::quartus::dcmd_dni)
dni::current_instance (::quartus::dcmd_dni)
dni::dump_constraints (::quartus::dcmd_dni)
dni::filter_collection (::quartus::dcmd_dni)
dni::get_cells (::quartus::dcmd_dni)
dni::get_designs (::quartus::dcmd_dni)
dni::get_nets (::quartus::dcmd_dni)
dni::get_pins (::quartus::dcmd_dni)
dni::get_ports (::quartus::dcmd_dni)
dni::get_property (::quartus::dcmd_dni)
dni::highlight (::quartus::dcmd_dni)
dni::index_collection (::quartus::dcmd_dni)
dni::is_dni_mode (::quartus::dcmd_dni)
dni::is_dni_mode_for_developer_testing (::quartus::dcmd_dni)
dni::list_properties (::quartus::dcmd_dni)
dni::load_design (::quartus::dcmd_dni)
dni::read_sdc (::quartus::dcmd_dni)
dni::remove_from_collection (::quartus::dcmd_dni)
dni::selection (::quartus::dcmd_dni)
dni::set_property (::quartus::dcmd_dni)
dni::set_time_format (::quartus::dcmd_dni)
dni::set_time_unit (::quartus::dcmd_dni)
dni::sizeof_collection (::quartus::dcmd_dni)
dni::sort_collection (::quartus::dcmd_dni)
dni::unload_design (::quartus::dcmd_dni)
dni::write_sdc (::quartus::dcmd_dni)