Intel® Quartus® Prime Pro Edition User Guide: Scripting

ID 683432
Date 4/03/2023
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

4.1.33.10. get_nets (::quartus::sdc)

The following table displays information for the get_nets Tcl command:

Tcl Package and Version

Belongs to ::quartus::sdc

Syntax get_nets [-h | -help] [-long_help] [-no_duplicates] [-nocase] [-nowarn] [ <filter> ]
Arguments -h | -help Short help
-long_help Long help with examples and possible return values
-no_duplicates Do not match duplicated net names
-nocase Specifies case-insensitive node name matching
-nowarn Do not issue warning messages about unmatched patterns
<filter> Valid destinations (string patterns are matched using Tcl string matching)
Description
Returns a collection of nets in the design. All net names in the
collection match the specified pattern. Wildcards can be used to
select multiple nets at once.

The default matching scheme returns nets whose names match the
specified filter and nets that are automatically generated by the
Quartus Prime software from these nets. Use the -no_duplicates option to
exclude duplicated nets.

The filter for the collection is a Tcl list of wildcards, and must
follow standard Tcl or Timing Analyzer-extension substitution rules.  See
help for the use_timing_analyzer_style_escaping command for details.
Example Usage
# Find a net called "reg" using case insensitive search
get_nets -nocase reg
# Create a collection of all nets whose names start with "reg"
get_nets reg*
# Create a collection of all nets in the design
set mycollection [get_nets *]
# Output net names.
foreach_in_collection net $mycollection {
    puts [get_net_info -name $net]
}
Return Value Code Name Code String Return
TCL_OK 0 INFO: Operation successful
TCL_ERROR 1 ERROR: Timing netlist does not exist. Use create_timing_netlist to create a timing netlist.