F-Tile Serial Lite IV Intel® FPGA IP Design Example User Guide

ID 683287
Date 10/14/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

2.3.2. Directory Structure

The Intel® Quartus® Prime Pro Edition software generates the design example files in the following folders:
  • <user_defined_design_example_directory>/ed_sim
  • <user_defined_design_example_directory>/ed_synth
  • <user_defined_design_example_directory>/ed_hwtest

The following diagrams show the directories that contain the generated files for the design example.

Figure 5. Directory Structure for Intel® Agilex® F-Tile Serial Lite IV Design Example
Table 5.  Design Example Generated Directory and File Descriptions
Directory/File Description
ed_sim/tb_components The directory that contains the testbench files.
ed_sim/common The directory that contains the .tcl scripts for all the simulators.

ed_sim/cadence

ed_sim/mentor

ed_sim/xcelium

ed_sim/synopsys/vcs

The directories that contain the simulation scripts. These directories also serve as a working area for the simulators.

For simplex Tx/Rx mode:

ed_sim/seriallite4_tx_0

ed_sim/seriallite4_rx_0

For duplex mode:

ed_sim/seriallite4_dup
The directory that contains the design example simulation source files.

ed_sim/seriallite4_tx_0.ip

ed_sim/seriallite4_rx_0.ip

ed_sim/seriallite4_dup.ip

ed_sim/seriallite4_system_pll.ip

IP-XACT representation of the design.
ed_synth/seriallite_iv_streaming_demo.qpf Intel® Quartus® Prime Pro Edition project file.
ed_synth/seriallite_iv_streaming_demo.qsf Intel® Quartus® Prime Pro Edition settings file.
ed_synth/seriallite_iv_streaming_demo.sdc Synopsys Design Constraints (SDC) file.
ed_synth/src The directory that contains the design example synthesizable components.
ed_synth/src/seriallite_iv_streaming_demo.v Design example top-level HDL.
ed_synth/demo_control The directory for each synthesizable component including Platform Designer-generated IPs, such as Demo Management and Demo Control modules.
ed_hwtest/sliv_ip_toolkit The directory that contains the .tcl script and package files for the Serial Lite IV IP Toolkit.
ed_hwtest/system_console The directory that contains the system console scripts to provide useful commands for reading statistics and enables you to control various features in the design.