F-Tile Serial Lite IV Intel® FPGA IP Design Example User Guide

ID 683287
Date 10/14/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

2.3.1. Design Example Parameters

The F-Tile Serial Lite IV Intel® FPGA IP parameter editor includes an Example Design tab for you to specify parameters before generating the design example.
Figure 4. Example Design Tab
Table 4.  Parameters in the Example Design Tab
Parameter Description
Generate Files for

The IP generates the necessary design example files for simulation and compilation.

Simulation—select this option to generate the necessary design simulation files.

Synthesis—select this option to generate the necessary design synthesis files. Use these files to compile the design in the Intel® Quartus® Prime Pro Edition software for hardware testing.

Generate File Format The format of the RTL files for simulation—Verilog or VHDL.
Select Board Supported hardware for design implementation. When you select an Intel FPGA development board, the Target Device is the one that matches the device on the Development Kit.

If this menu is grayed out, there is no supported board for the options that you select.

Agilex I-Series Transceiver-SoC Development Kit: This option allows you to test the design example on the selected Intel® Agilex™ I-Series Transceiver-SoC development kit.
Note: This option is only available when your target device is:
  • AGIB027R31B1E2V for FHT
  • AGIB027R31B2E2V for FGT

No Development Kit: This option excludes the hardware aspects for the design example.

Change Target Device Select a different device grade for Intel® FPGA IP development kit. For device-specific details, refer to the device datasheet on the Intel FPGA website.