Intel® Quartus® Prime Pro Edition User Guide: Third-party Synthesis

ID 683122
Date 3/28/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

2.7.1. Running the Intel® Quartus® Prime Software Manually With the Synplify-Generated Tcl Script

You can run the Intel® Quartus® Prime software with a Synplify-generated Tcl script.

To run the Tcl script to set up your project assignments, perform the following steps:

  1. Ensure the .vqm, .scf, and .tcl files are located in the same directory.
  2. In the Intel® Quartus® Prime software, on the View menu, point to and click Tcl Console. The Intel® Quartus® Prime Tcl Console opens.
  3. At the Tcl Console command prompt, type the following:

source <path>/<project name>_cons.tcl