F-Tile动态重配置设计示例用户指南

ID 710582
日期 3/28/2022
Public
文档目录

1.2. 生成设计

图 2. 步骤
按照以下步骤生成一个设计示例:
  1. 在IP Catalog中,选择F-Tile Dynamic Reconfiguration Suite Intel FPGA IP。出现New IP Variation窗口。
  2. 对custom IP variation(定制IP类别)指定一个顶层名称<your_ip>。参数编辑器将此IP variation设置保存在一个名为 <your_ip>.ip的文件中。
  3. IP选项卡上,指定IP core variation的参数。
  4. Example Design选项卡上,在Available Example Design下面选择ProtocolBase Variant
  5. Example Design选项卡上,在Example Design Files下面选择Simulation选项,生成测试台和compilation-only(仅编译)工程。选择Synthesis选项,生成硬件设计示例。您必须至少选择SimulationSynthesis中的一个选项来生成设计示例。
    注: PMA/FEC Direct PHY Multirate示例设计仅支持仿真。当实现硬件支持时,Synthesis(综合)选项将包含在 Intel® Quartus® Prime Pro Edition的未来版本中。
  6. Example Design选项卡上,在Generated HDL Format下面选择Verilog HDL。
  7. Example Design选项卡上,在Target Development Kit下面选择Board
    • 如果选择了NONE,那么生成的示例设计的器件OPN就是您在创建 Intel® Quartus® Prime工程时的器件选择。
    • 如果选择了Agilex I-Series Transceiver-SoC Development Kit,那么生成的示例设计的器件OPN是AGIB027R31B1E2VR0 (开发套件的器件OPN)。VID设置是在硬件设计示例的qsf文件中生成的。
  8. 点击Generate Example Design按钮。出现Select Example Design Directory窗口。

    如果想要从默认值(dr_f_0_example_design)修改设计示例目录路径或者名称,那么需要浏览到新的路径,然后输入新的设计示例目录名称(<design_example_dir>)。