F-Tile动态重配置设计示例用户指南

ID 710582
日期 3/28/2022
Public
文档目录

1.3. 目录结构

F-Tile Dynamic Reconfiguration设计示例生成以下文件:
表 5.  设计示例的测试台文件描述
文件名称 描述
CPRI多速率设计的主要测试台和仿真文件
<design_example_dir>/example_testbench/basic_avl_tb_top.sv 顶级(top-level)测试台文件。此测试台例化DUT wrapper并运行Verilog HDL任务来生成和接收数据包。
<design_example_dir>/example_testbench/cpriphy_dr_ed_dut_wrapper.sv 对DUT和其他测试台组件进行例化的DUT wrapper。
<design_example_dir>/example_testbench/ cpriphy_dr_ed_hw.sv 顶层硬件设计文件。此文件例化F-Tile Dynamic Reconfiguration Suite Intel FPGA IP,F-Tile Reference and System PLL Clocks Intel FPGA IP和DUT wrapper。
Ethernet多速率设计的主要测试台和仿真文件
<design_example_dir>/example_testbench/basic_avl_tb_top.sv 顶级(top-level)测试台文件。此测试台例化DUT wrapper并运行Verilog HDL任务来生成和接收数据包。
<design_example_dir>/example_testbench/ eth_f_hw.sv 对DUT和其他测试台组件进行例化的DUT wrapper。
PMA/FEC Direct PHY多速率设计的主要测试台和仿真文件
<design_example_dir>/example_testbench/top_tst.sv 顶级(top-level)测试台文件。此测试台例化DUT wrapper并运行Verilog HDL任务来生成和接收 PRBS数据流。
<design_example_dir>/compilation_test_design/dphy_dr_ed.v 对DUT和其他测试台进行例化的DUT wrapper。
CPRI,Ethernet和PMA/FEC Direct PHY多速率设计的测试台脚本
<design_example_dir>/example_testbench/run_vsim.do 运行测试台的 ModelSim* SE, Questa* 或者 Questa*-Intel® FPGA版本脚本。
<design_example_dir>/example_testbench/run_vcs.sh 运行测试台的 VCS* 脚本。
<design_example_dir>/example_testbench/run_vcsmx.sh 运行测试台的 VCS* MX脚本。
<design_example_dir>/example_testbench/ run_xcelium.sh 运行测试台的 Xcelium* 脚本。
表 6.  硬件设计示例文件描述
文件名称 描述
CPRI多速率设计
<design_example_dir>/hardware_test_design/cpriphy_dr_ed_hw.qpf Intel® Quartus® Prime工程文件。
<design_example_dir>/hardware_test_design/cpriphy_dr_ed_hw.qsf Intel® Quartus® Prime工程设置文件。
<design_example_dir>/hardware_test_design/cpriphy_dr_ed_hw.sv 顶层硬件设计文件。此文件例化F-Tile Dynamic Reconfiguration Suite Intel FPGA IP,F-Tile Reference and System PLL Clocks Intel FPGA IP和DUT wrapper。
<design_example_dir>/hardware_test_design/cpriphy_dr_ed_dut_wrapper.sv 对DUT和数据包客户端测试台组件进行例化的DUT wrapper。
<design_example_dir>/hardware_test_design/cpriphy_dr_ed_hw.sdc Synopsys Design Constraints文件(Synopsys设计约束文件)。对于您自己的 Intel® Agilex™ 器件,您可以对这些文件进行复制和修改。
<design_example_dir>/hardware_test_design/hwtest/main_script.tcl 用于访问System Console的主要文件。
<design_example_dir>/hardware_test_design/hwtest/parameter.tcl 存储测试脚本的可配置变量。您可以通过此文件中的变量对JTAG ID,测试所需的动态重配置序列进行修改。
Ethernet多速率设计
<design_example_dir>/hardware_test_design/eth_f_hw.v 顶层硬件设计文件。此文件例化F-Tile Dynamic Reconfiguration Suite Intel FPGA IP,F-Tile Reference and System PLL Clocks Intel FPGA IP和DUT wrapper。
<design_example_dir>/hardware_test_design/eth_f_hw_ip_top.sv 对DUT和数据包客户端测试台组件进行例化的DUT wrapper。

例如:ex_25G_mrex_100G_mr或者ex_400G_mr

<design_example_dir>/hardware_test_design/ eth_f_hw.sdc Synopsys Design Constraints文件(Synopsys设计约束文件)。对于您自己的 Intel® Agilex™ 器件,您可以对这些文件进行复制和修改。
<design_example_dir>/hardware_test_design/ hwtest/main_script.tcl 用于访问System Console的主要文件。
<design_example_dir>/hardware_test_design/ hwtest/parameter.tcl 存储测试脚本的可配置变量。您可以通过此文件中的变量对JTAG ID,测试所需的动态重配置序列进行修改。