用于 PCI Express* 的 英特尔® FPGA R-tile Avalon® Streaming IP设计实例用户指南

ID 683544
日期 4/10/2023
Public
文档目录

2. 快速入门指南

使用英特尔 Quartus Prime Pro Edition软件,可生成关于用于 PCI Express* 英特尔® FPGA R-Tile Avalon® -ST Hard IP的IP核已编程I/O(PIO)设计实例。该设计实例按照您指定的参数生成。PIO实例将数据从主机处理器传输到目标器件。它适用于低带宽应用程序。该设计实例自动创建在英特尔 Quartus Prime Pro Edition软件中进行仿真和编译的必要文件。可将已编译的设计实例版本下载到英特尔Agilex® 7 I-Series ES0 FPGA Development Board进行评估。如要下载到定制硬件,请按照正确的管脚分配更新英特尔 Quartus PrimeSettings File(.qsf)。

图 13. 设计实例的开发步骤