用于 PCI Express* 的 英特尔® FPGA R-tile Avalon® Streaming IP设计实例用户指南

ID 683544
日期 4/10/2023
Public
文档目录

2.5. 编译设计实例

注:英特尔 Quartus Prime 23.1发布中,R-tile Avalon Streaming Intel FPGA IP for PCIe设计实例具有有限的硬件测试支持。可使用以下说明进行早期测试并按照流程要求在英特尔Agilex® 7 I-Series FPGA Development Kit上运行该设计实例。
  1. 浏览到<project_dir>/intel_rtile_pcie_ast_0_example_design/并打开pcie_ed.qpf
  2. 如果您在生成设计实例时,选择了特定的开发套件,则与VID相关的设置包含于.qsf文件中,您无需手动添加该这些文件。请注意,这些设置也将针对特定的电路板。
  3. 打开Processing菜单,选择Start Compilation