GTS Dynamic Reconfiguration Controller IP User Guide: Agilex™ 5 FPGAs and SoCs

ID 849710
Date 8/11/2025
Public
Document Table of Contents

3.6.1. Generated Directory Structure and Files

The GTS Dynamic Reconfiguration Controller IP contains the following generated files for testbench, simulation, and hardware design example files.
Figure 21. Directory Structure for GTS Dynamic Reconfiguration Controller IP Design Example
Table 17.  Testbench File Descriptions for Design Example
Directory/File Description
Key Testbench and Simulation Files for DPHY (PMA/FEC Direct) Designs
<design_example_dir>/example_testbench/gts_dphy_dr_ed_hw.qsf Quartus® Prime setting file.
<design_example_dir>/example_testbench/basic_avl_tb.top.sv Top-level testbench file. The testbench instantiates the DUT wrapper and runs verilog HDL tasks to generate and accept packets.
<design_example_dir>/hardware_test_design/gts_dphy_dr_ed_hw.sv DUT wrapper that instantiates DUT and packet client testbench components.
<design_example_dir>/example_testbench/common Hardware design example support files
<design_example_dir>/example_testbench/run_riviera.do Simulation script file for Riviera-PRO* simulator.
Note: Design example for CPRI to Ethernet is not supported on Riviera-PRO* .
<design_example_dir>/example_testbench/run_vsim.do Simulation script file for QuestaSim* simulator.
<design_example_dir>/example_testbench/run_xcelium.do Simulation script file for Xcelium* Simulator.
Note: Design example for CPRI to Ethernet is not supported on Xcelium* .
<design_example_dir>/example_testbench/run_ncsim.do Simulation script file for Cadence NCSim Simulator.
Key Testbench and Simulation Files for Ethernet to CPRI Multirate Designs
<design_example_dir>/example_testbench/basic_avl_tb_top.sv Top-level testbench file. The testbench instantiates the DUT wrapper and runs Verilog HDL tasks to generate and accept packets.
<design_example_dir>/example_testbench/combo_dr_ed_dut.sv Top wrapper that instantiates Ethernet and CPRI PHY Multirate DUT and other testbench components.
Table 18.  Hardware Design Example File Descriptions
File Names Description
Key Hardware Test Design Files for DPHY (PMA/FEC Direct) Example Design
<design_example_dir>/hardware_test_design/gts_dphy_dr_ed_hw.qpf Quartus® Prime project file.
<design_example_dir>/hardware_test_design/gts_dphy_dr_ed_hw.qsf Quartus® Prime project settings file.
<design_example_dir>/hardware_test_design/gts_dphy_dr_ed_hw.sdc Synopsys Design Constraints file. You can copy and modify this file for your own Agilex™ 5 device.
<design_example_dir>/hardware_test_design/gts_dphy_dr_ed_hw.sv Top hardware design file. This file instantiates DUT, JTAG, AVMM, Reset release IP and test CSR.
<design_example_dir>/hardware_test_design/hwtest/main_script.tcl Main script to run hardware test using the system console.
<design_example_dir>/hardware_test_design/hwtest/src/parameter.tcl Stores the configurable variables of the test script. JTAG ID, desired dynamic reconfiguration sequences of the test can be modified through the variables in this file.
For Ethernet to CPRI Multirate Designs
<design_example_dir>/example_testbench/combo_gts_hw.qpf Altera Quartus Prime project file for simulation. You need to compile this project to generate the DR simulation file (dr_top.sv, mif file) at the proper location for simulation.
<design_example_dir>/hardware_test_design/combo_gts_hw.qsf Altera Quartus Prime project file for hardware. You need to compile this project to generate the DR hardware file (dr_top.sv, mif file) at the proper location for hardware.
<design_example_dir>/example_testbench/combo_gts_hw.qsf Altera Quartus Prime project settings file.
<design_example_dir>/hardware_test_design/combo_gts_hw.qsf Altera Quartus Prime project settings file.
<design_example_dir>/hardware_test_design/combo_gts_hw.sv

Top hardware design file. This file instantiates the GTS Combo Ethernet to CPRI Dynamic Reconfiguration DUT Wrapper and Control and Status Interface.

<design_example_dir>/hardware_test_design/combo_dr_ed_csr.sv Combo Ethernet to CPRI Dynamic Reconfiguration Control and Status Interface Wrapper.
<design_example_dir>/hardware_test_design/combo_gts_hw.sdc Synopsys Design Constraints file. You can copy and modify this file for your own GTS device.
common_files_list.f CPRI data generation and checker RTL file list.
<design_example_dir>/hardware_test_design/hwtest/main_script.tcl Main file for accessing System Console.
<design_example_dir>/hardware_test_design/hwtest/src/parameter.tcl Stores the configurable variables of the test script. The JTAG ID and the desired dynamic reconfiguration sequences for the test can be modified through the variables in this file.