Intel® Quartus® Prime Pro Edition User Guide: Scripting

ID 683432
Date 12/12/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

3.3.2. Intel® Quartus® Prime Tcl API Help

Intel® Quartus® Prime Tcl help allows easy access to information about the Intel® Quartus® Prime Tcl commands.
  • This command opens the Intel® Quartus® Prime Command-Line and Tcl API help browser, which documents all commands and options in the Intel® Quartus® Prime Tcl API. At a system command prompt, access the Intel® Quartus® Prime Tcl API Help by typing:
    quartus_sh --qhelp
  • The Tcl API Help can be accessed from the Tcl console as well. At a Tcl prompt, type
    help
    to access the help information. The output is:
The Tcl console provides help options that display specific information:
Table 7.  Help Options Available in the Intel® Quartus® Prime Tcl Environment
Help Command Description
help
Displays complete list of available Intel® Quartus® Prime Tcl packages.
help -tcl
Explains how to load Tcl packages and access command-line help.
help -pkg <package_name -[-version <version number>]
Displays help commands of the Intel® Quartus® Prime package that you specify, including the list of available Tcl commands.
  • If you do not specify -version, the Intel® Quartus® Prime software loads the latest version of the package.
  • If the package is not loaded, the Intel® Quartus® Prime software displays the help for the latest version of the package.
Examples:
help -pkg ::quartus::project
help -pkg project
help -pkg project -version 1.0
<command_name> -h
or
<command_name> -help
Displays the short help of a Intel® Quartus® Prime Tcl command in a loaded package. Examples:
project_open -h
project_open -help
package require ::quartus::<package name>[<version>]
Loads a specific version of an Intel® Quartus® Prime Tcl package. If you do not specify -version, the Intel® Quartus® Prime software loads the latest version of the package.

Example:

package require ::quartus::project 1.0

This command is similar to the load_package command

load_package <package name> [-version <version number>]

Allows you to alternate between different versions of the same package.

Example:

load_package ::quartus::project -version 1.0
help -cmd <command_name>
	  -[-version <version>]

or

<command_name> -long_help
Displays the complete help text for an Intel® Quartus® Prime Tcl command. If you do not specify -version, the Intel® Quartus® Prime software loads the latest version of the package.

Examples:

project_open -long_help
help -cmd project_open
help -cmd project_open -version 1.0
help -examples
Displays examples of Intel® Quartus® Prime Tcl usage.
help -quartus
To view help on the predefined global Tcl array that contains project information and information about the Intel® Quartus® Prime executable that is currently running.
quartus_sh --qhelp
Launches the Tk viewer for Intel® Quartus® Prime command-line help and display help for the command-line executables and Tcl API packages.
help -timequestinfo
To view help on the predefined global
"TimeQuestInfo"
Tcl array that contains delay model information and speed grade information of a Timing Analyzer design that is currently running.

The Tcl API help is also available in Intel® Quartus® Prime online help. Search for the command or package name to find details about that command or package.