Visible to Intel only — GUID: reference_TCL_tcl_pkg_sdc_ver_1_5_cmd_set_max_time_borrow
Ixiasoft
1.1. Benefits of Command-Line Executables
1.2. Command-Line Scripting Help
1.3. Project Settings with Command-Line Options
1.4. Compilation with quartus_sh --flow
1.5. Text-Based Report Files
1.6. Using Command-Line Executables in Scripts
1.7. Common Scripting Examples
1.8. The QFlow Script
1.9. Command-Line Scripting Revision History
1.7.1. Create a Project and Apply Constraints
1.7.2. Check Design File Syntax
1.7.3. Create a Project and Synthesize a Netlist Using Netlist Optimizations
1.7.4. Archive and Restore Projects
1.7.5. Update Memory Contents Without Recompiling
1.7.6. Create Device Configuration Files
1.7.7. Fit a Design Using Multiple Seeds
2.1. Tool Command Language
2.2. The Intel® Quartus® Prime Tcl Console Window
2.3. Intel® Quartus® Prime Tcl Packages
2.4. Tcl Design Flow Controls
2.5. Automating Script Execution
2.6. Other Scripting Features
2.7. The Intel® Quartus® Prime Tcl Shell in Interactive Mode Example
2.8. The tclsh Shell
2.9. Tcl Scripting Basic Examples
2.10. Tcl Scripting Revision History
2.6.4.1. Design Entry (all names) Filter
2.6.4.2. Pins: assigned Filter
2.6.4.3. Pins: unassigned Filter
2.6.4.4. Pins: input Filter
2.6.4.5. Pins: output Filter
2.6.4.6. Pins: bidirectional Filter
2.6.4.7. Pins: virtual Filter
2.6.4.8. Pins: all Filter
2.6.4.9. Pins: all & Registers: post-fitting Filter
2.6.4.10. Ports: partition
2.6.4.11. Entity instance: pre-synthesis Filter
2.6.4.12. Registers: pre-synthesis Filter
2.6.4.13. Registers: post-fitting Filter
2.6.4.14. Post-synthesis Filter
2.6.4.15. Post-Compilation Filter
2.6.4.16. Signal Tap: pre-synthesis Filter
2.6.4.17. Signal Tap: post-fitting Filter
3.1.1. ::quartus::backannotate
3.1.2. ::quartus::bpps
3.1.3. ::quartus::chip_planner
3.1.43.1.4.3. ::quartus::dcmd_dms
3.1.53.1.5.12. ::quartus::dcmd_sdc
3.1.63.1.6.7. ::quartus::dcmd_sdc_collection_api
3.1.7. ::quartus::design
3.1.8. ::quartus::device
3.1.9. ::quartus::dni_sdc
3.1.10. ::quartus::drc
3.1.11. ::quartus::eco
3.1.12. ::quartus::external_memif_toolkit
3.1.13. ::quartus::fif
3.1.14. ::quartus::flng
3.1.15. ::quartus::flow
3.1.163.1.16.1. Example of a Tcl Script
3.1.17. ::quartus::insystem_memory_edit
3.1.18. ::quartus::insystem_source_probe
3.1.19. ::quartus::interactive_synthesis
3.1.20. ::quartus::ipdrc
3.1.21. ::quartus::ipgen
3.1.22. ::quartus::iptclgen
3.1.23. ::quartus::jtag
3.1.24. ::quartus::logic_analyzer_interface
3.1.25. ::quartus::misc
3.1.26. ::quartus::names
3.1.27. ::quartus::periph
3.1.28. ::quartus::pfg
3.1.29. ::quartus::project
3.1.30. ::quartus::qmtf
3.1.31. ::quartus::qshm
3.1.32. ::quartus::report
3.1.33. ::quartus::sdc
3.1.34. ::quartus::sdc_ext
3.1.35. ::quartus::sta
3.1.36. ::quartus::stp
3.1.37. ::quartus::tdc
3.1.38. ::quartus::uno
3.1.2.1. bpps::apply_assignments (::quartus::bpps)
3.1.2.2. bpps::check_plan (::quartus::bpps)
3.1.2.3. bpps::export_constraints_to_qsf (::quartus::bpps)
3.1.2.4. bpps::get_cell_info (::quartus::bpps)
3.1.2.5. bpps::get_device (::quartus::bpps)
3.1.2.6. bpps::get_hdbpath_from_id (::quartus::bpps)
3.1.2.7. bpps::get_id_from_hdbpath (::quartus::bpps)
3.1.2.8. bpps::get_location_info (::quartus::bpps)
3.1.2.9. bpps::get_placement (::quartus::bpps)
3.1.2.10. bpps::get_placement_info (::quartus::bpps)
3.1.2.11. bpps::get_placements (::quartus::bpps)
3.1.2.12. bpps::get_placements_of_group (::quartus::bpps)
3.1.2.13. bpps::harden_cell (::quartus::bpps)
3.1.2.14. bpps::harden_cells (::quartus::bpps)
3.1.2.15. bpps::initialize (::quartus::bpps)
3.1.2.16. bpps::load_floorplan (::quartus::bpps)
3.1.2.17. bpps::place_cells (::quartus::bpps)
3.1.2.18. bpps::read_tpl_placement (::quartus::bpps)
3.1.2.19. bpps::remove_invalid_reports (::quartus::bpps)
3.1.2.20. bpps::report_all (::quartus::bpps)
3.1.2.21. bpps::report_cell_connectivity (::quartus::bpps)
3.1.2.22. bpps::report_cell_placement_reasons (::quartus::bpps)
3.1.2.23. bpps::report_cells (::quartus::bpps)
3.1.2.24. bpps::report_clocks (::quartus::bpps)
3.1.2.25. bpps::report_legal_cell_locations (::quartus::bpps)
3.1.2.26. bpps::report_location_types (::quartus::bpps)
3.1.2.27. bpps::report_locations (::quartus::bpps)
3.1.2.28. bpps::report_regions (::quartus::bpps)
3.1.2.29. bpps::report_summary (::quartus::bpps)
3.1.2.30. bpps::reset_plan (::quartus::bpps)
3.1.2.31. bpps::save_floorplan (::quartus::bpps)
3.1.2.32. bpps::save_pin_assignments (::quartus::bpps)
3.1.2.33. bpps::select_dr_ips (::quartus::bpps)
3.1.2.34. bpps::set_mode (::quartus::bpps)
3.1.2.35. bpps::shutdown (::quartus::bpps)
3.1.2.36. bpps::soften_cell (::quartus::bpps)
3.1.2.37. bpps::soften_cells (::quartus::bpps)
3.1.2.38. bpps::undo_last_placement (::quartus::bpps)
3.1.2.39. bpps::unplace_cells (::quartus::bpps)
3.1.2.40. bpps::update_pdpw (::quartus::bpps)
3.1.2.41. bpps::validate_placement (::quartus::bpps)
3.1.2.42. bpps::write_plan (::quartus::bpps)
3.1.2.43. bpps::write_tpl_placement (::quartus::bpps)
3.1.3.1. check_node (::quartus::chip_planner)
3.1.3.2. close_chip_planner (::quartus::chip_planner)
3.1.3.3. design_has_ace_support (::quartus::chip_planner)
3.1.3.4. design_has_encrypted_ip (::quartus::chip_planner)
3.1.3.5. get_info_parameters (::quartus::chip_planner)
3.1.3.6. get_iports (::quartus::chip_planner)
3.1.3.7. get_node_by_name (::quartus::chip_planner)
3.1.3.8. get_oports (::quartus::chip_planner)
3.1.3.9. get_port_by_type (::quartus::chip_planner)
3.1.3.10. get_sp_pin_list (::quartus::chip_planner)
3.1.3.11. get_tile_power_setting (::quartus::chip_planner)
3.1.3.12. read_netlist (::quartus::chip_planner)
3.1.3.13. set_batch_mode (::quartus::chip_planner)
3.1.4.1. dni::apply_constraints (::quartus::dcmd_dms)
3.1.4.2. dni::color (::quartus::dcmd_dms)
3.1.43.1.4.3. ::quartus::dcmd_dms
3.1.4.4. dni::get_property (::quartus::dcmd_dms)
3.1.4.5. dni::highlight (::quartus::dcmd_dms)
3.1.4.6. dni::is_dni_mode (::quartus::dcmd_dms)
3.1.4.7. dni::is_dni_mode_for_developer_testing (::quartus::dcmd_dms)
3.1.4.8. dni::list_properties (::quartus::dcmd_dms)
3.1.4.9. dni::load_design (::quartus::dcmd_dms)
3.1.4.10. dni::selection (::quartus::dcmd_dms)
3.1.4.11. dni::set_property (::quartus::dcmd_dms)
3.1.4.12. dni::unload_design (::quartus::dcmd_dms)
3.1.5.1. dni::all_inputs (::quartus::dcmd_sdc)
3.1.5.2. dni::all_outputs (::quartus::dcmd_sdc)
3.1.5.3. dni::create_clock (::quartus::dcmd_sdc)
3.1.5.4. dni::current_design (::quartus::dcmd_sdc)
3.1.5.5. dni::current_instance (::quartus::dcmd_sdc)
3.1.5.6. dni::get_cells (::quartus::dcmd_sdc)
3.1.5.7. dni::get_designs (::quartus::dcmd_sdc)
3.1.5.8. dni::get_nets (::quartus::dcmd_sdc)
3.1.5.9. dni::get_pins (::quartus::dcmd_sdc)
3.1.5.10. dni::get_ports (::quartus::dcmd_sdc)
3.1.5.11. dni::read_sdc (::quartus::dcmd_sdc)
3.1.53.1.5.12. ::quartus::dcmd_sdc
3.1.5.13. dni::set_time_format (::quartus::dcmd_sdc)
3.1.5.14. dni::set_time_unit (::quartus::dcmd_sdc)
3.1.5.15. dni::write_sdc (::quartus::dcmd_sdc)
3.1.6.1. dni::add_to_collection (::quartus::dcmd_sdc_collection_api)
3.1.6.2. dni::append_to_collection (::quartus::dcmd_sdc_collection_api)
3.1.6.3. dni::copy_collection (::quartus::dcmd_sdc_collection_api)
3.1.6.4. dni::filter_collection (::quartus::dcmd_sdc_collection_api)
3.1.6.5. dni::index_collection (::quartus::dcmd_sdc_collection_api)
3.1.6.6. dni::remove_from_collection (::quartus::dcmd_sdc_collection_api)
3.1.63.1.6.7. ::quartus::dcmd_sdc_collection_api
3.1.6.8. dni::sizeof_collection (::quartus::dcmd_sdc_collection_api)
3.1.6.9. dni::sort_collection (::quartus::dcmd_sdc_collection_api)
3.1.7.1. design::commit_design (::quartus::design)
3.1.7.2. design::convert_partition (::quartus::design)
3.1.7.3. design::create_assignment (::quartus::design)
3.1.7.4. design::delete_assignments (::quartus::design)
3.1.7.5. design::disable_assignments (::quartus::design)
3.1.7.6. design::enable_assignments (::quartus::design)
3.1.7.7. design::export_design (::quartus::design)
3.1.7.8. design::export_partition (::quartus::design)
3.1.7.9. design::extract_metadata (::quartus::design)
3.1.7.10. design::get_assignment_info (::quartus::design)
3.1.7.11. design::get_assignment_names (::quartus::design)
3.1.7.12. design::get_assignments (::quartus::design)
3.1.7.13. design::get_entity_names (::quartus::design)
3.1.7.14. design::get_instances (::quartus::design)
3.1.7.15. design::import_design (::quartus::design)
3.1.7.16. design::import_partition (::quartus::design)
3.1.7.17. design::list_valid_snapshot_names (::quartus::design)
3.1.7.18. design::load_design (::quartus::design)
3.1.7.19. design::report_assignments (::quartus::design)
3.1.7.20. design::set_assignment_info (::quartus::design)
3.1.9.1. dni::create_generated_clock (::quartus::dni_sdc)
3.1.9.2. dni::remove_clock_groups (::quartus::dni_sdc)
3.1.9.3. dni::remove_clock_latency (::quartus::dni_sdc)
3.1.9.4. dni::remove_clock_uncertainty (::quartus::dni_sdc)
3.1.9.5. dni::remove_disable_timing (::quartus::dni_sdc)
3.1.9.6. dni::remove_input_delay (::quartus::dni_sdc)
3.1.9.7. dni::remove_output_delay (::quartus::dni_sdc)
3.1.9.8. dni::set_clock_groups (::quartus::dni_sdc)
3.1.9.9. dni::set_clock_latency (::quartus::dni_sdc)
3.1.9.10. dni::set_clock_uncertainty (::quartus::dni_sdc)
3.1.9.11. dni::set_disable_timing (::quartus::dni_sdc)
3.1.9.12. dni::set_false_path (::quartus::dni_sdc)
3.1.9.13. dni::set_input_delay (::quartus::dni_sdc)
3.1.9.14. dni::set_input_transition (::quartus::dni_sdc)
3.1.9.15. dni::set_max_delay (::quartus::dni_sdc)
3.1.9.16. dni::set_max_time_borrow (::quartus::dni_sdc)
3.1.9.17. dni::set_min_delay (::quartus::dni_sdc)
3.1.9.18. dni::set_multicycle_path (::quartus::dni_sdc)
3.1.9.19. dni::set_operating_conditions (::quartus::dni_sdc)
3.1.9.20. dni::set_output_delay (::quartus::dni_sdc)
3.1.9.21. dni::set_sense (::quartus::dni_sdc)
3.1.9.22. dni::set_timing_derate (::quartus::dni_sdc)
3.1.10.1. drc::add_check_op (::quartus::drc)
3.1.10.2. drc::add_check_parameter (::quartus::drc)
3.1.10.3. drc::add_object (::quartus::drc)
3.1.10.4. drc::add_object_with_properties (::quartus::drc)
3.1.10.5. drc::add_property (::quartus::drc)
3.1.10.6. drc::add_rule (::quartus::drc)
3.1.10.7. drc::add_rule_violation (::quartus::drc)
3.1.10.8. drc::add_violation_record (::quartus::drc)
3.1.10.9. drc::add_waiver (::quartus::drc)
3.1.10.10. drc::check_design (::quartus::drc)
3.1.10.11. drc::delete_waivers (::quartus::drc)
3.1.10.12. drc::get_objects (::quartus::drc)
3.1.10.13. drc::get_option (::quartus::drc)
3.1.10.14. drc::get_property (::quartus::drc)
3.1.10.15. drc::get_stage_info (::quartus::drc)
3.1.10.16. drc::get_waivers (::quartus::drc)
3.1.10.17. drc::list_properties (::quartus::drc)
3.1.10.18. drc::report_waivers (::quartus::drc)
3.1.10.19. drc::set_option (::quartus::drc)
3.1.10.20. drc::set_property (::quartus::drc)
3.1.10.21. drc::should_run_drc (::quartus::drc)
3.1.10.22. drc::update_check_op (::quartus::drc)
3.1.10.23. drc::update_rule (::quartus::drc)
3.1.11.1. adjust_pll_refclk (::quartus::eco)
3.1.11.2. create_new_node (::quartus::eco)
3.1.11.3. create_wirelut (::quartus::eco)
3.1.11.4. eco_reroute (::quartus::eco)
3.1.11.5. eco_unload_design (::quartus::eco)
3.1.11.6. fitter_report_timing (::quartus::eco)
3.1.11.7. fitter_timing_summary (::quartus::eco)
3.1.11.8. get_available_snapshots (::quartus::eco)
3.1.11.9. get_eco_checkpoint (::quartus::eco)
3.1.11.10. get_loaded_snapshot (::quartus::eco)
3.1.11.11. get_lutmask_equation (::quartus::eco)
3.1.11.12. get_node_location (::quartus::eco)
3.1.11.13. make_connection (::quartus::eco)
3.1.11.14. modify_io_current_strength (::quartus::eco)
3.1.11.15. modify_io_delay_chain (::quartus::eco)
3.1.11.16. modify_io_slew_rate (::quartus::eco)
3.1.11.17. modify_lutmask (::quartus::eco)
3.1.11.18. place_node (::quartus::eco)
3.1.11.19. remove_connection (::quartus::eco)
3.1.11.20. remove_node (::quartus::eco)
3.1.11.21. report_connections (::quartus::eco)
3.1.11.22. report_legal_locations (::quartus::eco)
3.1.11.23. report_nodes_at_location (::quartus::eco)
3.1.11.24. eco::report_partitions (::quartus::eco)
3.1.11.25. report_ports (::quartus::eco)
3.1.11.26. report_routing (::quartus::eco)
3.1.11.27. report_unplaced_nodes (::quartus::eco)
3.1.11.28. restore_eco_checkpoint (::quartus::eco)
3.1.11.29. unplace_node (::quartus::eco)
3.1.11.30. update_mif_files (::quartus::eco)
3.1.12.1. apply_setting (::quartus::external_memif_toolkit)
3.1.12.2. calibrate_termination (::quartus::external_memif_toolkit)
3.1.12.3. configure_driver (::quartus::external_memif_toolkit)
3.1.12.4. create_connection_report (::quartus::external_memif_toolkit)
3.1.12.5. create_toolkit_report (::quartus::external_memif_toolkit)
3.1.12.6. driver_margining (::quartus::external_memif_toolkit)
3.1.12.7. establish_connection (::quartus::external_memif_toolkit)
3.1.12.8. generate_eye_diagram (::quartus::external_memif_toolkit)
3.1.12.9. get_connection_commands (::quartus::external_memif_toolkit)
3.1.12.10. get_connection_info (::quartus::external_memif_toolkit)
3.1.12.11. get_connection_interfaces (::quartus::external_memif_toolkit)
3.1.12.12. get_connection_report_info (::quartus::external_memif_toolkit)
3.1.12.13. get_connection_report_types (::quartus::external_memif_toolkit)
3.1.12.14. get_connection_types (::quartus::external_memif_toolkit)
3.1.12.15. get_connections (::quartus::external_memif_toolkit)
3.1.12.16. get_setting_types (::quartus::external_memif_toolkit)
3.1.12.17. get_toolkit_report_types (::quartus::external_memif_toolkit)
3.1.12.18. initialize_connections (::quartus::external_memif_toolkit)
3.1.12.19. link_project_to_device (::quartus::external_memif_toolkit)
3.1.12.20. read_setting (::quartus::external_memif_toolkit)
3.1.12.21. reindex_connections (::quartus::external_memif_toolkit)
3.1.12.22. reset_tg2 (::quartus::external_memif_toolkit)
3.1.12.23. run_connection_command (::quartus::external_memif_toolkit)
3.1.12.24. set_active_interface (::quartus::external_memif_toolkit)
3.1.12.25. set_stress_pattern (::quartus::external_memif_toolkit)
3.1.12.26. terminate_connection (::quartus::external_memif_toolkit)
3.1.12.27. terminate_connections (::quartus::external_memif_toolkit)
3.1.12.28. unlink_project_from_device (::quartus::external_memif_toolkit)
3.1.12.29. write_connection_target_report (::quartus::external_memif_toolkit)
3.1.13.1. check (::quartus::fif)
3.1.13.2. dump (::quartus::fif)
3.1.13.3. dump_cram_frame (::quartus::fif)
3.1.13.4. dump_mem (::quartus::fif)
3.1.13.5. dump_pr_bitstream (::quartus::fif)
3.1.13.6. generate (::quartus::fif)
3.1.13.7. get_frame_count (::quartus::fif)
3.1.13.8. get_frame_size (::quartus::fif)
3.1.13.9. get_sector_information_sdm_based_fpga (::quartus::fif)
3.1.13.10. get_sensitive_location (::quartus::fif)
3.1.13.11. get_sensitive_location_sdm_based_fpga (::quartus::fif)
3.1.13.12. setup (::quartus::fif)
3.1.13.13. setup_sdm_based_fpga (::quartus::fif)
3.1.13.14. terminate (::quartus::fif)
3.1.14.1. flng::add_object (::quartus::flng)
3.1.14.2. flng::add_property (::quartus::flng)
3.1.14.3. flng::bind_flow (::quartus::flng)
3.1.14.4. flng::delete_object (::quartus::flng)
3.1.14.5. flng::get_flow_list (::quartus::flng)
3.1.14.6. flng::get_next_available_id (::quartus::flng)
3.1.14.7. flng::get_object (::quartus::flng)
3.1.14.8. flng::get_objects (::quartus::flng)
3.1.14.9. flng::get_option (::quartus::flng)
3.1.14.10. flng::get_property (::quartus::flng)
3.1.14.11. flng::get_task_command (::quartus::flng)
3.1.14.12. flng::init_repository (::quartus::flng)
3.1.14.13. flng::list_properties (::quartus::flng)
3.1.14.14. flng::monitor_flow (::quartus::flng)
3.1.14.15. flng::run_flow (::quartus::flng)
3.1.14.16. flng::run_flow_command (::quartus::flng)
3.1.14.17. flng::set_option (::quartus::flng)
3.1.14.18. flng::set_property (::quartus::flng)
3.1.15.1. execute_flow (::quartus::flow)
3.1.15.2. execute_module (::quartus::flow)
3.1.15.3. get_flow_templates (::quartus::flow)
3.1.15.4. get_status_db_property (::quartus::flow)
3.1.15.5. write_flow_assignment_digest (::quartus::flow)
3.1.15.6. write_flow_finished (::quartus::flow)
3.1.15.7. write_flow_started (::quartus::flow)
3.1.15.8. write_flow_template (::quartus::flow)
3.1.17.1. begin_memory_edit (::quartus::insystem_memory_edit)
3.1.17.2. end_memory_edit (::quartus::insystem_memory_edit)
3.1.17.3. get_editable_mem_instances (::quartus::insystem_memory_edit)
3.1.17.4. read_content_from_memory (::quartus::insystem_memory_edit)
3.1.17.5. save_content_from_memory_to_file (::quartus::insystem_memory_edit)
3.1.17.6. update_content_to_memory_from_file (::quartus::insystem_memory_edit)
3.1.17.7. write_content_to_memory (::quartus::insystem_memory_edit)
3.1.18.1. end_insystem_source_probe (::quartus::insystem_source_probe)
3.1.18.2. get_insystem_source_probe_instance_info (::quartus::insystem_source_probe)
3.1.18.3. read_probe_data (::quartus::insystem_source_probe)
3.1.18.4. read_source_data (::quartus::insystem_source_probe)
3.1.18.5. start_insystem_source_probe (::quartus::insystem_source_probe)
3.1.18.6. write_source_data (::quartus::insystem_source_probe)
3.1.19.1. analyze_files (::quartus::interactive_synthesis)
3.1.19.2. check_rtl_connections (::quartus::interactive_synthesis)
3.1.19.3. dissolve_rtl_partition (::quartus::interactive_synthesis)
3.1.19.4. dynamic_report (::quartus::interactive_synthesis)
3.1.19.5. elaborate (::quartus::interactive_synthesis)
3.1.19.6. get_entities (::quartus::interactive_synthesis)
3.1.19.7. get_rtl_partition_name (::quartus::interactive_synthesis)
3.1.19.8. get_rtl_partitions (::quartus::interactive_synthesis)
3.1.19.9. link_rtl_design (::quartus::interactive_synthesis)
3.1.19.10. print_ipxact (::quartus::interactive_synthesis)
3.1.19.11. report_rtl_assignments (::quartus::interactive_synthesis)
3.1.19.12. report_rtl_parameters (::quartus::interactive_synthesis)
3.1.19.13. report_rtl_stats (::quartus::interactive_synthesis)
3.1.19.14. reset_rtl_design (::quartus::interactive_synthesis)
3.1.19.15. sasic (::quartus::interactive_synthesis)
3.1.19.16. save_rtl_design (::quartus::interactive_synthesis)
3.1.19.17. synthesize (::quartus::interactive_synthesis)
3.1.19.18. uniquify (::quartus::interactive_synthesis)
3.1.19.19. write_rtl_report (::quartus::interactive_synthesis)
3.1.20.1. ipdrc::get_device_speed (::quartus::ipdrc)
3.1.20.2. ipdrc::get_ip_hpaths (::quartus::ipdrc)
3.1.20.3. ipdrc::get_ip_name (::quartus::ipdrc)
3.1.20.4. ipdrc::get_ip_pma_modulation (::quartus::ipdrc)
3.1.20.5. ipdrc::get_ip_speed (::quartus::ipdrc)
3.1.20.6. ipdrc::get_ip_type (::quartus::ipdrc)
3.1.20.7. ipdrc::get_ip_xcvr_type (::quartus::ipdrc)
3.1.20.8. ipdrc::set_ip_info (::quartus::ipdrc)
3.1.23.1. close_device (::quartus::jtag)
3.1.23.2. device_dr_shift (::quartus::jtag)
3.1.23.3. device_ir_shift (::quartus::jtag)
3.1.23.4. device_lock (::quartus::jtag)
3.1.23.5. device_run_test_idle (::quartus::jtag)
3.1.23.6. device_unlock (::quartus::jtag)
3.1.23.7. device_virtual_dr_shift (::quartus::jtag)
3.1.23.8. device_virtual_ir_shift (::quartus::jtag)
3.1.23.9. get_device_names (::quartus::jtag)
3.1.23.10. get_hardware_names (::quartus::jtag)
3.1.23.11. open_device (::quartus::jtag)
3.1.24.1. begin_logic_analyzer_interface_control (::quartus::logic_analyzer_interface)
3.1.24.2. change_bank_to_output_pin (::quartus::logic_analyzer_interface)
3.1.24.3. end_logic_analyzer_interface_control (::quartus::logic_analyzer_interface)
3.1.24.4. get_current_state_of_output_pin (::quartus::logic_analyzer_interface)
3.1.24.5. tristate_output_pin (::quartus::logic_analyzer_interface)
3.1.25.1. checksum (::quartus::misc)
3.1.25.2. disable_natural_bus_naming (::quartus::misc)
3.1.25.3. enable_natural_bus_naming (::quartus::misc)
3.1.25.4. escape_brackets (::quartus::misc)
3.1.25.5. foreach_in_collection (::quartus::misc)
3.1.25.6. get_collection_size (::quartus::misc)
3.1.25.7. get_environment_info (::quartus::misc)
3.1.25.8. get_message_count (::quartus::misc)
3.1.25.9. init_tk (::quartus::misc)
3.1.25.10. load (::quartus::misc)
3.1.25.11. load_package (::quartus::misc)
3.1.25.12. post_message (::quartus::misc)
3.1.25.13. qerror (::quartus::misc)
3.1.25.14. qexec (::quartus::misc)
3.1.25.15. qexit (::quartus::misc)
3.1.25.16. record_tcl_cmd (::quartus::misc)
3.1.25.17. stopwatch (::quartus::misc)
3.1.27.1. periph::check_plan (::quartus::periph)
3.1.27.2. periph::get_cell_info (::quartus::periph)
3.1.27.3. periph::get_cells (::quartus::periph)
3.1.27.4. periph::get_location_info (::quartus::periph)
3.1.27.5. periph::get_placement_info (::quartus::periph)
3.1.27.6. periph::get_placements (::quartus::periph)
3.1.27.7. blueprint::initialize (::quartus::periph)
3.1.27.8. periph::load_floorplan (::quartus::periph)
3.1.27.9. periph::place_cells (::quartus::periph)
3.1.27.10. periph::remove_invalid_reports (::quartus::periph)
3.1.27.11. periph::report_all (::quartus::periph)
3.1.27.12. periph::report_cell_connectivity (::quartus::periph)
3.1.27.13. periph::report_cell_placement_reasons (::quartus::periph)
3.1.27.14. periph::report_cells (::quartus::periph)
3.1.27.15. periph::report_clocks (::quartus::periph)
3.1.27.16. periph::report_legal_cell_locations (::quartus::periph)
3.1.27.17. periph::report_location_types (::quartus::periph)
3.1.27.18. periph::report_locations (::quartus::periph)
3.1.27.19. periph::report_regions (::quartus::periph)
3.1.27.20. periph::report_summary (::quartus::periph)
3.1.27.21. periph::reset_plan (::quartus::periph)
3.1.27.22. periph::save_floorplan (::quartus::periph)
3.1.27.23. periph::set_clock_type (::quartus::periph)
3.1.27.24. blueprint::shutdown (::quartus::periph)
3.1.27.25. periph::undo_last_placement (::quartus::periph)
3.1.27.26. periph::unplace_cells (::quartus::periph)
3.1.27.27. periph::update_pdpw (::quartus::periph)
3.1.27.28. periph::update_plan (::quartus::periph)
3.1.27.29. periph::write_plan (::quartus::periph)
3.1.29.1. assignment_group (::quartus::project)
3.1.29.2. close_side_revision (::quartus::project)
3.1.29.3. create_base_clock (::quartus::project)
3.1.29.4. create_relative_clock (::quartus::project)
3.1.29.5. create_revision (::quartus::project)
3.1.29.6. delete_revision (::quartus::project)
3.1.29.7. execute_assignment_batch (::quartus::project)
3.1.29.8. export_assignments (::quartus::project)
3.1.29.9. get_all_assignment_names (::quartus::project)
3.1.29.10. get_all_assignments (::quartus::project)
3.1.29.11. get_all_global_assignments (::quartus::project)
3.1.29.12. get_all_instance_assignments (::quartus::project)
3.1.29.13. get_all_parameters (::quartus::project)
3.1.29.14. get_all_quartus_defaults (::quartus::project)
3.1.29.15. get_all_user_option_names (::quartus::project)
3.1.29.16. get_assignment_info (::quartus::project)
3.1.29.17. get_assignment_name_info (::quartus::project)
3.1.29.18. get_current_project (::quartus::project)
3.1.29.19. get_current_revision (::quartus::project)
3.1.29.20. get_database_version (::quartus::project)
3.1.29.21. get_global_assignment (::quartus::project)
3.1.29.22. get_instance_assignment (::quartus::project)
3.1.29.23. get_location_assignment (::quartus::project)
3.1.29.24. get_name_info (::quartus::project)
3.1.29.25. get_names (::quartus::project)
3.1.29.26. get_parameter (::quartus::project)
3.1.29.27. get_project_directory (::quartus::project)
3.1.29.28. get_project_revisions (::quartus::project)
3.1.29.29. get_project_settings (::quartus::project)
3.1.29.30. get_top_level_entity (::quartus::project)
3.1.29.31. get_user_option (::quartus::project)
3.1.29.32. is_database_version_compatible (::quartus::project)
3.1.29.33. is_fitter_in_qhd_mode (::quartus::project)
3.1.29.34. is_project_open (::quartus::project)
3.1.29.35. open_side_revision (::quartus::project)
3.1.29.36. project_archive (::quartus::project)
3.1.29.37. project_clean (::quartus::project)
3.1.29.38. project_close (::quartus::project)
3.1.29.39. project_exists (::quartus::project)
3.1.29.40. project_new (::quartus::project)
3.1.29.41. project_open (::quartus::project)
3.1.29.42. project_restore (::quartus::project)
3.1.29.43. project_settings_exist (::quartus::project)
3.1.29.44. remove_all_global_assignments (::quartus::project)
3.1.29.45. remove_all_instance_assignments (::quartus::project)
3.1.29.46. remove_all_parameters (::quartus::project)
3.1.29.47. resolve_file_path (::quartus::project)
3.1.29.48. revision_exists (::quartus::project)
3.1.29.49. set_current_revision (::quartus::project)
3.1.29.50. set_global_assignment (::quartus::project)
3.1.29.51. set_high_effort_fmax_optimization_assignments (::quartus::project)
3.1.29.52. set_instance_assignment (::quartus::project)
3.1.29.53. set_io_assignment (::quartus::project)
3.1.29.54. set_location_assignment (::quartus::project)
3.1.29.55. set_multicycle_assignment (::quartus::project)
3.1.29.56. set_parameter (::quartus::project)
3.1.29.57. set_power_file_assignment (::quartus::project)
3.1.29.58. set_project_settings (::quartus::project)
3.1.29.59. set_timing_cut_assignment (::quartus::project)
3.1.29.60. set_user_option (::quartus::project)
3.1.29.61. test_assignment_trait (::quartus::project)
3.1.29.62. timegroup (::quartus::project)
3.1.31.1. qshm_connect_to_quartus (::quartus::qshm)
3.1.31.2. qshm_disconnect_from_quartus (::quartus::qshm)
3.1.31.3. qshm_dispose_client (::quartus::qshm)
3.1.31.4. qshm_get_hub_key_prefix (::quartus::qshm)
3.1.31.5. qshm_get_parent_hub_key (::quartus::qshm)
3.1.31.6. qshm_obtain_client (::quartus::qshm)
3.1.31.7. qshm_send_request (::quartus::qshm)
3.1.31.8. qshm_send_server_state_query (::quartus::qshm)
3.1.31.9. qshm_set_context (::quartus::qshm)
3.1.32.1. add_row_to_table (::quartus::report)
3.1.32.2. create_report_panel (::quartus::report)
3.1.32.3. delete_report_panel (::quartus::report)
3.1.32.4. get_fitter_resource_usage (::quartus::report)
3.1.32.5. get_number_of_columns (::quartus::report)
3.1.32.6. get_number_of_rows (::quartus::report)
3.1.32.7. get_report_panel_column_index (::quartus::report)
3.1.32.8. get_report_panel_data (::quartus::report)
3.1.32.9. get_report_panel_id (::quartus::report)
3.1.32.10. get_report_panel_names (::quartus::report)
3.1.32.11. get_report_panel_row (::quartus::report)
3.1.32.12. get_report_panel_row_index (::quartus::report)
3.1.32.13. load_report (::quartus::report)
3.1.32.14. read_xml_report (::quartus::report)
3.1.32.15. refresh_report_window (::quartus::report)
3.1.32.16. save_report_database (::quartus::report)
3.1.32.17. unload_report (::quartus::report)
3.1.32.18. write_report_panel (::quartus::report)
3.1.32.19. write_xml_report (::quartus::report)
3.1.33.1. all_clocks (::quartus::sdc)
3.1.33.2. all_inputs (::quartus::sdc)
3.1.33.3. all_outputs (::quartus::sdc)
3.1.33.4. all_registers (::quartus::sdc)
3.1.33.5. create_clock (::quartus::sdc)
3.1.33.6. create_generated_clock (::quartus::sdc)
3.1.33.7. derive_clocks (::quartus::sdc)
3.1.33.8. get_cells (::quartus::sdc)
3.1.33.9. get_clocks (::quartus::sdc)
3.1.33.10. get_nets (::quartus::sdc)
3.1.33.11. get_pins (::quartus::sdc)
3.1.33.12. get_ports (::quartus::sdc)
3.1.33.13. remove_clock_groups (::quartus::sdc)
3.1.33.14. remove_clock_latency (::quartus::sdc)
3.1.33.15. remove_clock_uncertainty (::quartus::sdc)
3.1.33.16. remove_disable_timing (::quartus::sdc)
3.1.33.17. remove_input_delay (::quartus::sdc)
3.1.33.18. remove_output_delay (::quartus::sdc)
3.1.33.19. reset_design (::quartus::sdc)
3.1.33.20. set_clock_groups (::quartus::sdc)
3.1.33.21. set_clock_latency (::quartus::sdc)
3.1.33.22. set_clock_uncertainty (::quartus::sdc)
3.1.33.23. set_disable_timing (::quartus::sdc)
3.1.33.24. set_false_path (::quartus::sdc)
3.1.33.25. set_input_delay (::quartus::sdc)
3.1.33.26. set_input_transition (::quartus::sdc)
3.1.33.27. set_max_delay (::quartus::sdc)
3.1.33.28. set_max_time_borrow (::quartus::sdc)
3.1.33.29. set_min_delay (::quartus::sdc)
3.1.33.30. set_multicycle_path (::quartus::sdc)
3.1.33.31. set_output_delay (::quartus::sdc)
3.1.34.1. derive_clock_uncertainty (::quartus::sdc_ext)
3.1.34.2. derive_pll_clocks (::quartus::sdc_ext)
3.1.34.3. disable_min_pulse_width (::quartus::sdc_ext)
3.1.34.4. get_active_clocks (::quartus::sdc_ext)
3.1.34.5. get_assignment_groups (::quartus::sdc_ext)
3.1.34.6. get_fanins (::quartus::sdc_ext)
3.1.34.7. get_fanouts (::quartus::sdc_ext)
3.1.34.8. get_keepers (::quartus::sdc_ext)
3.1.34.9. get_nodes (::quartus::sdc_ext)
3.1.34.10. get_partitions (::quartus::sdc_ext)
3.1.34.11. get_registers (::quartus::sdc_ext)
3.1.34.12. remove_annotated_delay (::quartus::sdc_ext)
3.1.34.13. remove_clock (::quartus::sdc_ext)
3.1.34.14. reset_timing_derate (::quartus::sdc_ext)
3.1.34.15. set_active_clocks (::quartus::sdc_ext)
3.1.34.16. set_annotated_delay (::quartus::sdc_ext)
3.1.34.17. set_data_delay (::quartus::sdc_ext)
3.1.34.18. set_max_skew (::quartus::sdc_ext)
3.1.34.19. set_net_delay (::quartus::sdc_ext)
3.1.34.20. set_scc_mode (::quartus::sdc_ext)
3.1.34.21. set_time_format (::quartus::sdc_ext)
3.1.34.22. set_timing_derate (::quartus::sdc_ext)
3.1.35.1. add_to_collection (::quartus::sta)
3.1.35.2. check_timing (::quartus::sta)
3.1.35.3. create_report_histogram (::quartus::sta)
3.1.35.4. create_slack_histogram (::quartus::sta)
3.1.35.5. create_timing_netlist (::quartus::sta)
3.1.35.6. create_timing_summary (::quartus::sta)
3.1.35.7. delete_sta_collection (::quartus::sta)
3.1.35.8. delete_timing_netlist (::quartus::sta)
3.1.35.9. enable_ccpp_removal (::quartus::sta)
3.1.35.10. enable_sdc_extension_collections (::quartus::sta)
3.1.35.11. get_available_operating_conditions (::quartus::sta)
3.1.35.12. get_cell_info (::quartus::sta)
3.1.35.13. get_clock_domain_info (::quartus::sta)
3.1.35.14. get_clock_fmax_info (::quartus::sta)
3.1.35.15. get_clock_info (::quartus::sta)
3.1.35.16. get_clock_pair_info (::quartus::sta)
3.1.35.17. get_datasheet (::quartus::sta)
3.1.35.18. get_default_sdc_file_names (::quartus::sta)
3.1.35.19. get_edge_info (::quartus::sta)
3.1.35.20. get_entity_instances (::quartus::sta)
3.1.35.21. get_min_pulse_width (::quartus::sta)
3.1.35.22. get_net_info (::quartus::sta)
3.1.35.23. get_node_info (::quartus::sta)
3.1.35.24. get_object_info (::quartus::sta)
3.1.35.25. get_operating_conditions (::quartus::sta)
3.1.35.26. get_operating_conditions_info (::quartus::sta)
3.1.35.27. get_partition_info (::quartus::sta)
3.1.35.28. get_path (::quartus::sta)
3.1.35.29. get_path_info (::quartus::sta)
3.1.35.30. get_pin_info (::quartus::sta)
3.1.35.31. get_point_info (::quartus::sta)
3.1.35.32. get_port_info (::quartus::sta)
3.1.35.33. get_register_info (::quartus::sta)
3.1.35.34. get_timing_paths (::quartus::sta)
3.1.35.35. import_sdc (::quartus::sta)
3.1.35.36. is_post_syn_sta (::quartus::sta)
3.1.35.37. locate (::quartus::sta)
3.1.35.38. print_total_sdc_processing_time (::quartus::sta)
3.1.35.39. query_collection (::quartus::sta)
3.1.35.40. read_sdc (::quartus::sta)
3.1.35.41. register_delete_timing_netlist_callback (::quartus::sta)
3.1.35.42. remove_from_collection (::quartus::sta)
3.1.35.43. report_advanced_io_timing (::quartus::sta)
3.1.35.44. report_asynch_cdc (::quartus::sta)
3.1.35.45. report_bottleneck (::quartus::sta)
3.1.35.46. report_cdc_viewer (::quartus::sta)
3.1.35.47. report_clock_fmax_summary (::quartus::sta)
3.1.35.48. report_clock_network (::quartus::sta)
3.1.35.49. report_clock_transfers (::quartus::sta)
3.1.35.50. report_clocks (::quartus::sta)
3.1.35.51. report_datasheet (::quartus::sta)
3.1.35.52. report_ddr (::quartus::sta)
3.1.35.53. report_exceptions (::quartus::sta)
3.1.35.54. report_ini_usage (::quartus::sta)
3.1.35.55. report_logic_depth (::quartus::sta)
3.1.35.56. report_max_clock_skew (::quartus::sta)
3.1.35.57. report_max_skew (::quartus::sta)
3.1.35.58. report_metastability (::quartus::sta)
3.1.35.59. report_min_pulse_width (::quartus::sta)
3.1.35.60. report_neighbor_paths (::quartus::sta)
3.1.35.61. report_net_delay (::quartus::sta)
3.1.35.62. report_net_timing (::quartus::sta)
3.1.35.63. report_partitions (::quartus::sta)
3.1.35.64. report_path (::quartus::sta)
3.1.35.65. report_pipelining_info (::quartus::sta)
3.1.35.66. report_register_spread (::quartus::sta)
3.1.35.67. report_register_statistics (::quartus::sta)
3.1.35.68. report_retiming_restrictions (::quartus::sta)
3.1.35.69. report_route_net_of_interest (::quartus::sta)
3.1.35.70. report_rskm (::quartus::sta)
3.1.35.71. report_sdc (::quartus::sta)
3.1.35.72. report_skew (::quartus::sta)
3.1.35.73. report_tccs (::quartus::sta)
3.1.35.74. report_timing (::quartus::sta)
3.1.35.75. report_timing_by_source_files (::quartus::sta)
3.1.35.76. report_timing_tree (::quartus::sta)
3.1.35.77. report_ucp (::quartus::sta)
3.1.35.78. set_operating_conditions (::quartus::sta)
3.1.35.79. timing_netlist_exist (::quartus::sta)
3.1.35.80. update_timing_netlist (::quartus::sta)
3.1.35.81. use_timing_analyzer_style_escaping (::quartus::sta)
3.1.35.82. write_sdc (::quartus::sta)
Visible to Intel only — GUID: reference_TCL_tcl_pkg_sdc_ver_1_5_cmd_set_max_time_borrow
Ixiasoft
3.1.33.28. set_max_time_borrow (::quartus::sdc)
The following table displays information for the set_max_time_borrow Tcl command:
Tcl Package and Version | Belongs to ::quartus::sdc |
||
Syntax | set_max_time_borrow [-h | -help] [-long_help] [-exact] <value> <targets> | ||
Arguments | -h | -help | Short help | |
-long_help | Long help with examples and possible return values | ||
-exact | Forces the time borrowed to be the exact value provided (if physically possible) | ||
<value> | Time Value | ||
<targets> | Collection or list of latches | ||
Description | Specifies the maximum borrowed time for level-sensitive latches. The actual borrowed time will be determined automatically, but will never exceed the amount you specify. For any latches without a set_max_time_borrow constraint, no limit will apply (except for the physical limit of what is possible on the device, as described below). By using the -exact option, you can bypass the automatic algorithm and specify the exact amount of borrowing at a given latch. For optimum results, using the automatic algorithm is recommended (ideally, without any set_max_time_borrow constraints). Time borrowing is specified with respect to the earliest possible time a signal can be clocked into the latch node. For example, for a positive latch, if the earliest possible arrival time of the rising clock edge is 1.025ns, then a signal that has an arrival time of 1.035ns (where this arrival time already includes the micro-setup time of the latch) will require at least 0.010ns of time borrowing. Regardless of how the borrowed time is determined (automatically without a limit, automatically with a set_max_time_borrow constraint, or manually with a set_max_time_borrow -exact constraint), the borrowed time can never exceed what is physically possible to borrow on the device. The maximum amount that can be borrowed is the period of time when the latch is open (e.g. half the clock period if the clock has a 50% duty cycle), but this time is reduced by clock propagation time spread and clock uncertainty between the latch-opening and latch-closing clock edges, and is further reduced by the closing-edge setup time of the latch. Some of these factors vary from corner to corner, as well as from clock to clock (if multiple clocks drive the latch). Time borrowing analysis will only occur in the Timing Analysis (Signoff) stage, or when manually running the Timing Analyzer. The Fitter will not utilize time borrowing information and will assume zero time borrowed. Thus, the use of level-sensitive latches with high-speed clocks is not recommended, unless other constraints (such as set_max_delay) are manually set to ensure optimal Fitter behavior. The targets of this command must be level-sensitive latches (all other targets will be ignored). The targets can be specified as either a collections or a Tcl list of wildcards used to create collections of appropriate types. The values used must follow standard Tcl or Timing Analyzer-extension substitution rules. See help for the use_timing_analyzer_style_escaping command for details. |
||
Example Usage | |
||
Return Value | Code Name | Code | String Return |
TCL_OK | 0 | INFO: Operation successful | |
TCL_ERROR | 1 | ERROR: Option <string> has illegal value: <string>. Specify a legal option value. |