Serial Lite IV Intel Agilex® 7 FPGA IP Design Example User Guide

ID 683391
Date 10/02/2023
Public

3. Detailed Description for Serial Lite IV Design Example

This design example demonstrates the functionality of data streaming using basic and full mode.

You can specify the parameter settings of your choice and generate the design example.

The design example is available only in duplex mode.