P-tile Avalon® Streaming Intel® FPGA IP for PCI Express* Design Example User Guide

ID 683038
Date 4/10/2023
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

2.3.1.1. Running Simulations Using QuestaSim*

From the Intel® Quartus® Prime 22.3 release onward, use the QuestaSim* 2022.3 version.

To run simulations using the Siemens EDA QuestaSim* or Questa Intel® FPGA Edition simulators, follow these steps:

  1. Go to the working directory <example_design>/pcie_ed_tb/pcie_ed_tb/sim/mentor/.
  2. Invoke vsim (by typing vsim, which brings up a console window where you can run the following commands).
  3. Type: do msim_setup.tcl
    Note: Alternatively, instead of doing Steps 2 and 3, you can type: vsim -c -do msim_setup.tcl.
  4. Type: ld_debug
  5. Type: run -all
  6. A successful simulation ends with the following message, "Simulation stopped due to successful completion!".