Intel® Quartus® Prime Pro Edition用户指南: 设计编译

ID 683236
日期 12/16/2019
Public
文档目录

1.8.1. Verilog和SystemVerilog综合支持

Intel® Quartus® Prime综合支持以下Verilog HDL语言标准:
  • Verilog-1995 (IEEE Standard 1364-1995)
  • Verilog-2001 (IEEE Standard 1364-2001)
  • SystemVerilog-2005 (IEEE Standard 1800-2005)
  • SystemVerilog-2009 (IEEE Standard 1800-2009)

以下重要指南适用于Verilog HDL和SystemVerilog的 Intel® Quartus® Prime 综合 :

  • 对于扩展名为.v的文件,Compiler默认使用Verilog-2001标准,而扩展名为.sv的文件则使用SystemVerilog标准。
  • 如果使用脚本添加设计文件,则可使用-HDL_VERSION命令指定每个设计文件的HDL版本。
  • 对Verilog HDL的Compiler支持符合Verilog HDL标准因而需要区分大小写。
  • 依据Verilog HDL标准,Compiler支持编译器指令`define
  • Compiler支持include编译器指令,包括带有绝对路径(具有“/”或“\”作为分隔符)或相对路径的文件。
  • 搜索相对路径时,Compiler最初会在工程目录中搜索相对路径。如果Compiler无法找到该文件,则下一步会对所有用户库进行搜索。最终,Compiler会在当前文件的目录位置搜索相对路径。
  • 综合处理的早期, Intel® Quartus® Prime Pro Edition综合搜索所有模块或实体而非Quartus软件工具。相比其它Quartus软件工具,早期搜索会因为未定义实体而生成早期句法错误。