Article ID: 000086863 Content Type: Error Messages Last Reviewed: 02/21/2019

Error: Internal error: (<signal name> => <signal name>) Internal error: standard logic: std_logic ports/signals must be width 1 but was <n>

Environment

  • Intel® Quartus® Prime Pro Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Description

    Due to a problem in the Intel® Quartus® Prime Pro Edition software version 18.1 Update 1 and earlier, you may see this error when generating a Platform Designer system. This error occurs when the Platform Designer system includes a generic component.

    Resolution

    To work around this problem, select the component in Platform Designer and then select the component instantiation tab. Change the width of each signal to 1, then revert the width back to its original value and generate the HDL.

    This problem is scheduled to be fixed in a future release of the Intel Quartus Prime Pro Edition software.

    Related Products

    This article applies to 1 products

    Intel® Programmable Devices