Article ID: 000083122 Content Type: Troubleshooting Last Reviewed: 03/04/2013

Is the Synopsys Design Compiler software supported with the Quartus II software?

Environment

BUILT IN - ARTICLE INTRO SECOND COMPONENT
Description

As documented in the Quartus® II release notes, the Quartus II software officially supports only up to Design Compiler 2004.12-SP4.

In addition, the Quartus II software supports only EDIF output netlists from Design Compiler, and Design Compiler 2007.03 is the last version that writes out EDIF netlists.

Related Products

This article applies to 1 products

Intel® Programmable Devices