Article ID: 000077249 Content Type: Troubleshooting Last Reviewed: 09/11/2012

Why there is a warning or error message " (vlog-2256) Event expressions should result in a singular type" when I compile _phy_alt_mem_phy.v in EDA simulation tools?

Environment

BUILT IN - ARTICLE INTRO SECOND COMPONENT
Description

There is a missing syntax in <instance>_phy_alt_mem_phy.v line 1655.


always @(extended_value, extend_using_this_bit_mask, dwidth_scaled_pre_extend_array)

workaround code:


always @(extended_value, extend_using_this_bit_mask, dwidth_scaled_pre_extend_array[k])

by assigning packed array as sensitivity list, then compilation will pass.

 

Related Products

This article applies to 1 products

Stratix® IV GX FPGA