Article ID: 000076860 Content Type: Error Messages Last Reviewed: 09/11/2012

Error: Unknown problem in <filename> InternalError, Internal error: "Width mismatch in relational operator." (MAX PLUS II, VHDL)

Environment

BUILT IN - ARTICLE INTRO SECOND COMPONENT
Description This error occurs during compilation in the MAX PLUS II software if an arithmetic operator is used in the conditional if statement. The workaround is to assign the arithmetic result to a temporary variable and use this temporary variable in the condition portion of the if statement. For example, the following code generates the error:

...

if (my_input   1 > 3) then

 ....

Using the temporary-variable workaround, the above code could be implemented as:

...

temp_variable < = my_input   1;

if (temp_variable > 3) then

 ....

Related Products

This article applies to 1 products

Intel® Programmable Devices