Article ID: 000074756 Content Type: Troubleshooting Last Reviewed: 09/14/2011

pin_assignments.tcl Contains Incorrect Pin Names in Qsys Systems

Environment

  • Quartus® II Subscription Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Critical Issue

    Description

    For systems generated with Qsys, the <variation_name>_pin_assignments.tcl script does not assign correct pin names. This situation occurs because the entity name assigned by Qsys is not yet known at generation time when the <variation_name>_pin_assignments.tcl script is generated.

    This issue affects all configurations.

    Your design fails to simulate and does not work in hardware.

    Resolution

    After generating your IP core, edit the <variation_name>_pin_assignments.tcl script and change the set instance_name line to specify the correct name of your controller instance.

    This issue will not be fixed.

    Related Products

    This article applies to 1 products

    Intel® Programmable Devices