Article ID: 000077903 Content Type: Troubleshooting Last Reviewed: 01/08/2014

Why does my 40GBASE-KR4 MAC PHY example design fail to simulate in VCS?

Environment

  • Quartus® II Subscription Edition
  • Simulation
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Description When executing the run_vcs.sh simulation script generated by software version 13.1 of the 40GBASE-KR4 IP example design, the simulation will fail to compile, with the following errors:

    Error-[SV-PPD] Package previously declared
    ../../../kr4_sim/alt_e40_e100/sv_xcvr_h.sv, 20
    sv_xcvr_h
      Identifier 'sv_xcvr_h' is already declared in file
      "./../example/common/alt_e40_e_reco/alt_e40_e_reco/sv_xcvr_h.sv", line 20.

    ...

    ./run_vcs.sh: line 31: ./simv: No such file or directory

    Resolution

    This issue is due to duplicate module declarations in the simulation scripts.

    Edit the file <generated name>_sim/synopsys/vcs/vcs_setup.sh as detailed below to resolve this issue.

    Remove line 68:

      /alt_e40_e100/altera_xcvr_functions.sv \

    and remove line 78:

     /alt_e40_e100/sv_xcvr_h.sv \

    Then rerun run_vcs.sh.

    This issue will be fixed in an upcoming release of the Quartus II software.

    Related Products

    This article applies to 1 products

    Intel® Programmable Devices