Article ID: 000085366 Content Type: Troubleshooting Last Reviewed: 09/27/2011

Does the Quartus II software support the VHDL-2008 package fixed_generic_pkg?

Environment

BUILT IN - ARTICLE INTRO SECOND COMPONENT
Description

The Quartus® II software does not currently support the VHDL-2008 fixed_generic_pkg package. To implement fixed point arithmetic, please refer to the Advanced Synthesis Cookbook: A Design Guide for Stratix II, Stratix III, and Stratix IV Devices (PDF).

Support for this library is scheduled to be added in a future release of the Quartus II software.

Related Products

This article applies to 1 products

Intel® Programmable Devices