Article ID: 000075915 Content Type: Error Messages Last Reviewed: 09/11/2012

Error (10119): Verilog HDL Loop Statement error at <location>: loop with non-constant loop condition must terminate within <number> iterations

Environment

BUILT IN - ARTICLE INTRO SECOND COMPONENT
Description

This error may appear in the Quartus® II software when synthesis iterates through a loop in Verilog HDL for more than the synthesis loop limit. This limit prevents synthesis from potentially running into an infinite loop. By default, this loop limit is set to 250 iterations.

Resolution

To work around this error, the loop limit can be set using the VERILOG_NON_CONSTANT_LOOP_LIMIT option in the Quartus II Settings File (.qsf). For example:

set_global_assignment -name VERILOG_NON_CONSTANT_LOOP_LIMIT 300

Related Products

This article applies to 1 products

Intel® Programmable Devices