Article ID: 000081289 Content Type: Error Messages Last Reviewed: 04/15/2015

Error (10430): VHDL Primary Unit Declaration error at dspba_library_package.vhd(16): primary unit "dspba_library_package" already exists in library "project name" and Error (10430):

Environment

BUILT IN - ARTICLE INTRO SECOND COMPONENT
Description

You may receive below error messages if the design consists of FIR II MegaCore® and Floating Point hardware 2 component in Qsys system.

 

Error (10430): VHDL Primary Unit Declaration error at dspba_library_package.vhd(16): primary unit "dspba_library_package" already exists in library "project name" and Error (10430): VHDL Primary Unit Declaration error at dspba_library.vhd(17): primary unit "dspba_delay" already exists in library "project name"

Resolution

To work around this issue:

1. User can manually delete one of the assignments that contain "dspba_library_package.vhd" and "dspba_library.vhd" in the .qip file that generated by Qsys.

2. Another work around without manual intervention is that:
 a) Move the dspba_library.vhd and dspba_library_package.vhd from the following directory up one level.
 /../ip/altera/altera_nios_custom_instr_floating_point_2/altera_nios_custom_instr_floating_point_2_multi/FPAddSub

 b) Edit the following .tcl file by delete the FPAddsub/ that in front of dspba_library.vhd and dspba_library_package.vhd.
 /../ip/altera/altera_nios_custom_instr_floating_point_2/altera_nios_custom_instr_floating_point_2_multi/fpoint2_multi_qsys_hw.tcl

 Example after modification:
 set vhdl_filelist [list fpoint2_multi.vhd \
                    fpoint2_multi_datapath.vhd \
                    dspba_library_package.vhd \
                    dspba_library.vhd \
                    FPAddSub/FPAddSub.vhd \
                    FPDiv/FPDiv.vhd \
                    FPMult/FPMult.vhd \
                    IntToFloat/IntToFloat.vhd \
                    FloatToInt/FloatToInt.vhd \
                    FPSqrt/FPSqrt_safe_path.vhd \
                    FPSqrt/FPSqrt.vhd ]

 c) After above modification, please regenerate the Qsys and compile the design by using Quartus® II software .

This issue will be fixed in Quartus II software version 15.0.

Related Products

This article applies to 1 products

Intel® Programmable Devices